eCPRI Intel® FPGA IP Hoahoa
Exampte Aratohu Kaiwhakamahi
Kua whakahoutia mo Intel®
Quartus®
Kaupapa Hoahoa Pirimia: 23.1
Putanga IP: 2.0.3
Aratohu Timata Tere
Ko te Atanga Reo Irirangi Tumatanui Whakanuia (eCPRI) Intel® FPGA IP matua e whakatinana ana i te putanga eCPRI 2.0. Ko te eCPRI Intel FPGA IP e whakarato ana i tetahi papa whakamatautau me te hoahoa taputapu o muaampe tautoko ana i te whakahiato me te whakamatautau taputapu. Ina whakaputa koe i te hoahoa exampte, te ētita tawhā hanga aunoa i te files e tika ana ki te whaihanga, whakahiato, me te whakamatautau i te hoahoa exampi roto i te taputapu.
Ko te hoahoa taputapu whakahiato exampe rere ana:
- Intel Agilex™ 7 I-Series FPGA Development Kit
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit
- Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit mo te hoahoa H-tile examples
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit mo te hoahoa E-tile examples
- Intel Arria® 10 GX Transceiver Signal Integrity Development Kit
Ka whakaratohia e Intel he kohinga-anake o muaampte kaupapa ka taea e koe te whakamahi ki te whakatau tere i te rohe matua IP me te wa.
Ko te papa whakamatautau me te hoahoa exampKa tautokohia e ia nga reiti raraunga 25G me 10G mo te Intel Stratix 10 H-tile, E-tile me te Intel Agilex 7 E-tile, F-tile ranei nga rereketanga o te taputapu o te IP eCPRI.
Tuhipoka: Ko te hoahoa IP eCPRI example with interworking function (IWF) is available for 9.8 Gbps CPRI rate bit rate in the release of current.
Tuhipoka: Ko te hoahoa IP eCPRI exampe kore e tautoko i te whirihora hihiko mo te reiti raraunga 10G i roto i nga hoahoa Intel Arria 10.
Ko te eCPRI Intel FPGA IP hoahoa matua exampKei te tautoko a le i nga ahuatanga e whai ake nei:
- TX roto ki RX aratau loopback rangatū
- Kaihanga waka me te kaitaki
- Nga kaha ki te tirotiro i nga paatete taketake
- Te kaha ki te whakamahi i te Papatohu Pūnaha ki te whakahaere i te hoahoa me te tautuhi i te hoahoa mo te kaupapa whakamatautau ano
Intel Corporation. Katoa nga mana. Ko Intel, ko te tohu Intel, me etahi atu tohu Intel he tohu hokohoko na Intel Corporation me ona apiti. Ka whakamanahia e Intel te mahinga o ana hua FPGA me nga hua semiconductor ki nga whakaritenga o naianei i runga i te raihana paerewa a Intel, engari ka whai mana ki te whakarereke i nga hua me nga ratonga i nga wa katoa kaore he panui. Karekau a Intel he kawenga, he taunahatanga ranei i puta mai i te tono, i te whakamahinga ranei o nga korero, hua, ratonga ranei e whakaahuatia ana i konei engari ko nga mea i tino whakaaehia a Intel. Ka tohutohuhia nga kaihoko a Intel ki te tiki i te putanga hou o nga whakaritenga taputapu i mua i te whakawhirinaki ki nga korero kua whakaputaina me i mua i te tuku ota mo nga hua, ratonga ranei. *Ko etahi atu ingoa me etahi atu tohu ka kiia he taonga na etahi atu.
ISO 9001:2015 Kua Rehitatia
Whakaahua 1. Nga Waahi Whanaketanga mo te Hoahoa Example
Nga korero e pa ana
- eCPRI Intel FPGA IP Aratohu Kaiwhakamahi
- eCPRI Intel FPGA IP Release Notes
1.1. Nga Whakaritenga Pumau me nga Pūmanawa
Hei whakamatautau i te exampte hoahoa, whakamahia nga taputapu me nga rorohiko e whai ake nei:
- Putanga rorohiko Intel Quartus® Prime Pro 23.1
- Papatohu Pūnaha
- Simulators Tautokohia:
— Siemens* EDA QuestaSim*
— Synopsys* VCS*
— Synopsys VCS MX
— Aldec* Riviera-PRO*
— Irirangi* Xcelium* - Kete Whakawhanaketanga:
— Intel Agilex 7 I-Series FPGA Development Kit
— Intel Agilex 7 I-Series Transceiver-SoC Development Kit
— Intel Agilex 7 F-Series Transceiver-SoC Development Kit
— Intel Stratix 10 GX Transceiver Signal Integrity Development Kit mo te hoahoa rereke o te taputapu H-tile example
— Intel Stratix 10 TX Transceiver Signal Integrity Development mo te hoahoa rereke o te taputapu E-tile example
— Intel Arria 10 GX Transceiver Signal Integrity Development Kit
Nga korero e pa ana
- Intel Agilex 7 I-Series FPGA Development Kit Aratohu Kaiwhakamahi
- Intel Agilex 7 I-Series Transceiver-SoC Development Kit Aratohu Kaiwhakamahi
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit Aratohu Kaiwhakamahi
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
1.2. Te whakaputa i te Hoahoa
Tikanga: Ina whiwhi koe i te eCPRI web-matua IP, tiakina te web-kaiwhakahaere matua ki te rohe. Whakahaerehia te kaiwhakahaere me Windows/Linux. Ina ue'i, tāuta te webmatua ki te waahi rite ki te kōpaki Intel Quartus Prime.
Ko te eCPRI Intel FPGA IP ka puta inaianei ki te Putumōhio IP.
Mena kaore ano koe i te kaupapa Intel Quartus Prime Pro Edition hei whakauru i to eCPRI Intel FPGA IP matua, me hanga e koe tetahi.
- I roto i te rorohiko Intel Quartus Prime Pro Edition, pawhiria File ➤ Ruānuku Kaupapa Hou hei hanga kaupapa hou Intel Quartus Prime, paato ranei File ➤ Kaupapa Tuwhera hei whakatuwhera i tetahi kaupapa Intel Quartus Prime. Ka akiaki koe e te ruānuku ki te tautuhi i tetahi taputapu.
- Tauwhāitihia te whanau taputapu me tetahi taputapu e tutuki ana i nga whakaritenga o te karaehe tere.
- Pāwhiritia te Mutu.
- I roto i te Putumōhio IP, kimihia ka paato-rua eCPRI Intel FPGA IP. Ka puta te matapihi rereke IP Hou.
A pee i enei mahi ki te whakaputa i te hoahoa taputapu eCPRI IP example me te papa whakamatautau:
- I roto i te Putumōhio IP, kimihia ka paato-rua eCPRI Intel FPGA IP. Ka puta te matapihi rereke IP Hou.
- Pāwhiritia OK. Ka puta te ētita tawhā.
Whakaahua 2. Exampte Ripa Hoahoa i roto i te eCPRI Intel FPGA IP Parameter Editor - Tauwhāitihia he ingoa taumata-runga mo to rereketanga IP ritenga. Ka tiakina e te ētita tawhā ngā tautuhinga rerekētanga IP i roto i te a file whakaingoatia .ip.
- Pāwhiritia OK. Ka puta te ētita tawhā.
- I te ripa Whānui, whakapūtāhia ngā tawhā mō tō rerekētanga matua IP.
Tuhipoka: • Me whakakā koe i te tawhā Streaming i te ētita tawhā eCPRI IP ina whakaputa koe i te hoahoa exampme te Tawhā Tautoko Interworking Function (IWF) kua whakahohea,
• Me whakarite e koe te Raina Moka Raina CPRI (Gbit/s) ki etahi atu i te wa e whakaputa ana i te hoahoa o muaampme te Tawhā Tautoko Interworking (IWF) kua whakahohea. - I runga i te Exampripa Hoahoa, tīpakohia te kōwhiringa whaihanga ki te whakaputa i te tepu whakamātautau, tīpako i te kōwhiringa kōtuitanga ki te whakaputa i te taputapu exampte hoahoa, me te kowhiri i te waahanga whakahiato me te whaihanga hei whakaputa i te papa whakamatautau me te hoahoa taputapu example.
- Mo te Reo mo te whaihanga taumata teitei file, tohua Verilog, VHDL ranei.
Tuhipoka: E waatea ana tenei whiringa ina kowhiria e koe te whiringa Whakamutunga mo to muaamphoahoa. - Mo te Reo mo te whakahiato taumata teitei file, tohua Verilog, VHDL ranei.
Tuhipoka: Kei te waatea noa tenei whiringa ina kowhiria e koe te waahanga Synthesis mo to muaamphoahoa. - Mo te maha o nga hongere, ka taea e koe te whakauru i te maha o nga hongere (1 ki te 4) mo to hoahoa. Ko te uara taunoa he 1.
- Pāwhiritia Whakaputa Exampte Hoahoa. Ko te Tohu Exampka puta te matapihi Design Directory.
- Mena kei te hiahia koe ki te whakarereke i te hoahoa exampte ara whaiaronga, ingoa ranei mai i nga taunoa kua whakaatuhia (ecpri_0_testbench), tirotiro ki te ara hou ka patohia te hoahoa hou o muaampte ingoa whaiaronga.
- Pāwhiritia OK.
Nga korero e pa ana
eCPRI Intel FPGA IP Aratohu Kaiwhakamahi
1.3. Hanganga Whaiaronga
Ko te hoahoa matua eCPRI IP example file kei roto i nga whaiaronga nga mea hanga e whai ake nei files mo te hoahoa example.
Whakaahua 3. Hanganga Whaiaronga o te Ex Generated Example Hoahoa
Tuhipoka:
- Kei roto anake i te Intel Arria 10 IP hoahoa exampte rerekētanga.
- Kei roto i te Intel Stratix 10 (H-tile or E-tile) hoahoa IP exampte rerekētanga.
- Kei roto i te hoahoa IP Intel Agilex E-tile IP anakeampte rerekētanga.
Ripanga 1. eCPRI Intel FPGA IP Core Testbench File Whakaahuatanga
File Nga Ingoa | Whakaahuatanga |
Te Paepae Whakamatau Matua me te Whakaaturanga Files | |
<design_example_dir> / whaihanga / testbench / ecpri_tb.sv | Te pae whakamatautau taumata-runga file. Ka tukuna e te papa whakamatautau te takai DUT me te whakahaere i nga mahi a Verilog HDL hei whakaputa me te whakaae i nga paanui. |
<design_example_dir>/simulation/testbench/ecpri_ed.sv | Ko te takai DUT e whakaputa ana i te DUT me etahi atu waahanga whakamatautau. |
<design_example_dir>/simulation/ed_fw/flow.c | puna C-waehere file. |
Nga Tuhituhi Whakamatau | |
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do | Ko te tuhinga a Siemens EDA QuestaSim hei whakahaere i te papa whakamatautau. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh | Ko te tuhinga Synopsys VCS hei whakahaere i te papa whakamatautau. |
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh | Ko te tuhinga Synopsys VCS MX (kua honoa a Verilog HDL me SystemVerilog me VHDL) ki te whakahaere i te papa whakamatautau. |
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl | Ko te tuhinga Aldec* Riviera-PRO hei whakahaere i te papa whakamatautau. |
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh | Ko te tuhinga a Cadence* Xcelium hei whakahaere i te papa whakamatautau. |
Ripanga 2. eCPRI Intel FPGA IP Core Hardware Design Example File Whakaahuatanga
File Nga Ingoa | Whakaahuatanga |
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf | Kaupapa Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf | Tautuhinga kaupapa Intel Quartus Prime file. |
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc | Nga herenga Hoahoa Synopsys files. Ka taea e koe te kape me te whakarereke i enei files mo to ake hoahoa Intel Stratix 10. |
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv | Hoahoa Verilog HDL taumata-rungaample file. |
<design_example_dir>/synthesis/testbench/ecpri_ed.sv | Ko te takai DUT e whakaputa ana i te DUT me etahi atu waahanga whakamatautau. |
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl | Matua file mo te uru ki te Papatohu Pūnaha (Kei te waatea i roto i nga hoahoa Intel Stratix 10 H-tile me te E-tile). |
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl | Matua file mo te uru atu ki te Papatohu Pūnaha (Kei te waatea i nga hoahoa Intel Arria 10). |
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl | Matua file mo te uru atu ki te Papatohu Pūnaha (Kei te waatea i nga hoahoa Intel Agilex 7). |
1.4. Whakataurite i te Hoahoa Exampte Testbench
Whakaatu 4. Tikanga
A pee i enei taahiraa hei whakatauira i te papa whakamatautau:
- I te whakahau whakahau, huri ki te whaiaronga whaihanga testbenchample_dir> / whaihanga / setup_scripts.
- Mo nga rereketanga taputapu Intel Agilex F-tile, whai i enei mahi:
a. Whakatere ki teample_dir> / whaihanga / whaiaronga quartus me te whakahaere i enei whakahau e rua i raro nei: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
Ka taea e koe te whakatuwhera i te kaupapa ecpri_ed.qpf i roto i te Intel Quartus Prime Pro Edition ka mahi i te whakahiato tae noa ki te Tautoko Logic Generation stage.
b. Whakatere ki teample_dir> / whaihanga / setup_scripts whaiaronga.
c. Whakahaerehia te whakahau e whai ake nei: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf - Whakahaerehia te tuhinga whaihanga mo te simulator tautoko e pai ana koe. Ka whakahiato, ka whakahaeretia e te tuhinga te papa whakamatautau i roto i te simulator. Tirohia te ripanga.
Tuhipoka: Ko te tautoko reo VHDL mo te whaihanga e waatea ana me nga simulators QuestaSim me VCS MX anake. Ko te tautoko reo Verilog mo te whaihanga e waatea ana mo nga simulators katoa kua whakarārangihia ki te Ripanga: Nga Tikanga ki te Whakataurite i te Testbench. - Tātarihia ngā hua. Ka tukuna, ka whakawhiwhia e te papa whakamatautau angitu, ka whakaatu i te "PASED".
Ripanga 3. Nga Hipanga ki te Whakataurite i te Paepae Whakatau
Simulator | Tohutohu |
QuestaSim | I roto i te rarangi whakahau, pato vsim -do run_vsim.do Ki te pai koe ki te whakataurite me te kore e kawe ake i te QuestaSim GUI, pato vsim -c -do run_vsim.do |
VCS | • I te rarangi whakahau, patohia te sh run_vcs.sh • Whakatere ki teample_dir> / whaihanga / setup_scripts / synopsys / vcs ka whakahaere i te whakahau e whai ake nei: sh run_vcs.sh |
VCS MX | I te rarangi whakahau, pato sh run_vcsmx.sh |
Riviera-PRO | I roto i te rarangi whakahau, pato vsim -c -do run_rivierapro.tcl Tuhipoka: Ka tautokona i roto i nga rereketanga hoahoa Intel Stratix 10 H-tile. |
Xcelium(1) | I roto i te rarangi whakahau, patohia te sh run_xcelium.sh |
- Kaore tenei simulator e tautokohia mo te eCPRI Intel FPGA IP hoahoa exampi hangaia me te IWF kua whakahohea.
Sampte Putanga: Ko nga s e whai ake neiampKo te putanga e whakaatu ana i te oma whakamatautau whaihanga angitu o te hoahoa IP eCPRI exampme te kore he IWF e taea ana me te maha o nga hongere = 4:
# E tatari ana mo te whakatikatika RX
# RX deskew raka
# RX arai tiaaro maukati
# Tatari kia marama te he o te hono
# Maamaa te he o te hono
# MAC Puna Wāhitau 0_0 Channel 0: 33445566
# MAC Puna Wāhitau 0_1 Channel 0: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 0: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 0: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 0: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 0: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 0: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 0: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 0: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 0: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 0: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 0: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 0: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 0: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 0: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 0: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 0: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 0: 0000ddee
# eCPRI Hongere Mana 0: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 0: 00000241
# putanga eCPRI Channel 0: 2
# MAC Puna Wāhitau 0_0 Channel 1: 33445566
# MAC Puna Wāhitau 0_1 Channel 1: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 1: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 1: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 1: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 1: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 1: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 1: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 1: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 1: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 1: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 1: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 1: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 1: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 1: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 1: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 1: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 1: 0000ddee
# eCPRI Hongere Mana 1: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 1: 00000241
# putanga eCPRI Channel 1: 2
# MAC Puna Wāhitau 0_0 Channel 2: 33445566
# MAC Puna Wāhitau 0_1 Channel 2: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 2: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 2: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 2: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 2: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 2: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 2: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 2: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 2: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 2: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 2: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 2: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 2: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 2: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 2: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 2: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 2: 0000ddee
# eCPRI Hongere Mana 2: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 2: 00000241
# putanga eCPRI Channel 2: 2
# MAC Puna Wāhitau 0_0 Channel 3: 33445566
# MAC Puna Wāhitau 0_1 Channel 3: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 3: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 3: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 3: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 3: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 3: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 3: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 3: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 3: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 3: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 3: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 3: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 3: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 3: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 3: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 3: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 3: 0000ddee
# eCPRI Hongere Mana 3: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 3: 00000241
# putanga eCPRI Channel 3: 2
# ________________________________________________________
# INFO: Kei waho o te mana tautuhi
# ________________________________________________________
#
#
# Channel 0 eCPRI TX tatau SOPs : 0
# Hongere 0 eCPRI TX EOPs tatau : 0
# Channel 0 eCPRI RX SOPs tatau : 0
# Channel 0 eCPRI RX EOPs tatau : 0
# Hoera 0 Nga tatau SOP PTP TX o waho : 0
# Hongere 0 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 0 Nga tatau SOP MISC TX o waho : 0
# Channel 0 Wawaho MISC TX EOPs tatau : 0
# Hoera 0 Nga tatau RX o waho: 0
# Hongere 0 Nga tatau RX EOP o waho : 0
# Channel 1 eCPRI TX tatau SOPs : 0
# Hongere 1 eCPRI TX EOPs tatau : 0
# Channel 1 eCPRI RX SOPs tatau : 0
# Channel 1 eCPRI RX EOPs tatau : 0
# Hoera 1 Nga tatau SOP PTP TX o waho : 0
# Hongere 1 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 1 Nga tatau SOP MISC TX o waho : 0
# Channel 1 Wawaho MISC TX EOPs tatau : 0
# Hoera 1 Nga tatau RX o waho: 0
# Hongere 1 Nga tatau RX EOP o waho : 0
# Channel 2 eCPRI TX tatau SOPs : 0
# Hongere 2 eCPRI TX EOPs tatau : 0
# Channel 2 eCPRI RX SOPs tatau : 0
# Channel 2 eCPRI RX EOPs tatau : 0
# Hoera 2 Nga tatau SOP PTP TX o waho : 0
# Hongere 2 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 2 Nga tatau SOP MISC TX o waho : 0
# Channel 2 Wawaho MISC TX EOPs tatau : 0
# Hoera 2 Nga tatau RX o waho: 0
# Hongere 2 Nga tatau RX EOP o waho : 0
# Channel 3 eCPRI TX tatau SOPs : 0
# Hongere 3 eCPRI TX EOPs tatau : 0
# Channel 3 eCPRI RX SOPs tatau : 0
# Channel 3 eCPRI RX EOPs tatau : 0
# Hoera 3 Nga tatau SOP PTP TX o waho : 0
# Hongere 3 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 3 Nga tatau SOP MISC TX o waho : 0
# Channel 3 Wawaho MISC TX EOPs tatau : 0
# Hoera 3 Nga tatau RX o waho: 0
# Hongere 3 Nga tatau RX EOP o waho : 0
# ________________________________________________________
# INFO: Tīmatahia te tuku pākete
# ________________________________________________________
#
#
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 0
# INFO: Channel 0 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 0 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 0 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 0 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 0 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 1
# INFO: Channel 1 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 1 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 1 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 1 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 1 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 2
# INFO: Channel 2 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 2 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 2 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 2 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 2 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 3
# INFO: Channel 3 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 3 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 3 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 3 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 3 eCPRI Waho TX Misc whakawhitinga waka kua oti
# ________________________________________________________
# INFO: Kati te tuku kete
# ________________________________________________________
#
#
# ________________________________________________________
# INFO: Te tirotiro i nga tatauranga mokete
# ________________________________________________________
#
#
# Channel 0 eCPRI SOP i tukuna: 300
# Channel 0 eCPRI EOP i tukuna: 300
# Channel 0 eCPRI SOPs i whiwhi: 300
# Channel 0 eCPRI EOPs i whiwhi: 300
# Channel 0 eCPRI Hapa i korerotia: 0
# Hoera 0 Nga SOP PTP o waho i tukuna: 4
# Hoera 0 Nga PTP EOP o waho i tukuna: 4
# Channel 0 Nga MISC SOP o waho i tukuna: 128
# Channel 0 Nga MISC EOP o waho i tukuna: 128
# Channel 0 Nga SOP o waho i whiwhi: 132
# Channel 0 Nga EOP o waho i whiwhi: 132
# Hoera 0 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 0 Nga PTP EOP o waho i whiwhi: 4
# Channel 0 Nga MISC SOP o waho i whiwhi: 128
# Channel 0 Nga MISC EOP o waho i whiwhi: 128
# Hongere 0 Hapa o waho i ripoatahia: 0
# Hongere 0 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 1 eCPRI SOP i tukuna: 300
# Channel 1 eCPRI EOP i tukuna: 300
# Channel 1 eCPRI SOPs i whiwhi: 300
# Channel 1 eCPRI EOPs i whiwhi: 300
# Channel 1 eCPRI Hapa i korerotia: 0
# Hoera 1 Nga SOP PTP o waho i tukuna: 4
# Hoera 1 Nga PTP EOP o waho i tukuna: 4
# Channel 1 Nga MISC SOP o waho i tukuna: 128
# Channel 1 Nga MISC EOP o waho i tukuna: 128
# Channel 1 Nga SOP o waho i whiwhi: 132
# Channel 1 Nga EOP o waho i whiwhi: 132
# Hoera 1 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 1 Nga PTP EOP o waho i whiwhi: 4
# Channel 1 Nga MISC SOP o waho i whiwhi: 128
# Channel 1 Nga MISC EOP o waho i whiwhi: 128
# Hongere 1 Hapa o waho i ripoatahia: 0
# Hongere 1 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 2 eCPRI SOP i tukuna: 300
# Channel 2 eCPRI EOP i tukuna: 300
# Channel 2 eCPRI SOPs i whiwhi: 300
# Channel 2 eCPRI EOPs i whiwhi: 300
# Channel 2 eCPRI Hapa i korerotia: 0
# Hoera 2 Nga SOP PTP o waho i tukuna: 4
# Hoera 2 Nga PTP EOP o waho i tukuna: 4
# Channel 2 Nga MISC SOP o waho i tukuna: 128
# Channel 2 Nga MISC EOP o waho i tukuna: 128
# Channel 2 Nga SOP o waho i whiwhi: 132
# Channel 2 Nga EOP o waho i whiwhi: 132
# Hoera 2 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 2 Nga PTP EOP o waho i whiwhi: 4
# Channel 2 Nga MISC SOP o waho i whiwhi: 128
# Channel 2 Nga MISC EOP o waho i whiwhi: 128
# Hongere 2 Hapa o waho i ripoatahia: 0
# Hongere 2 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 3 eCPRI SOP i tukuna: 300
# Channel 3 eCPRI EOP i tukuna: 300
# Channel 3 eCPRI SOPs i whiwhi: 300
# Channel 3 eCPRI EOPs i whiwhi: 300
# Channel 3 eCPRI Hapa i korerotia: 0
# Hoera 3 Nga SOP PTP o waho i tukuna: 4
# Hoera 3 Nga PTP EOP o waho i tukuna: 4
# Channel 3 Nga MISC SOP o waho i tukuna: 128
# Channel 3 Nga MISC EOP o waho i tukuna: 128
# Channel 3 Nga SOP o waho i whiwhi: 132
# Channel 3 Nga EOP o waho i whiwhi: 132
# Hoera 3 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 3 Nga PTP EOP o waho i whiwhi: 4
# Channel 3 Nga MISC SOP o waho i whiwhi: 128
# Channel 3 Nga MISC EOP o waho i whiwhi: 128
# Hongere 3 Hapa o waho i ripoatahia: 0
# Hongere 3 Wā-wahoamp I korerohia te Hapa Matimati: 0
# ________________________________________________________
# INFO: Kua PAA te Whakamatau
#
# ________________________________________________________
Sampte Putanga: Ko nga s e whai ake neiampKo te putanga e whakaatu ana i te oma whakamatautau whaihanga angitu o te hoahoa IP eCPRI exampme te ahua IWF kua whakahohea me te maha o nga hongere = 4:
# Whakahohehia te CPRI TX
# CPRI Channel 0 L1_CONFIG : 00000001
# CPRI Channel 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 1 L1_CONFIG : 00000001
# CPRI Channel 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 2 L1_CONFIG : 00000001
# CPRI Channel 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI Channel 3 L1_CONFIG : 00000001
# CPRI Channel 3 CPRI_CORE_CM_CONFIG : 00001ed4
# E tatari ana mo te whakatikatika RX
# RX deskew raka
# RX arai tiaaro maukati
# Tatari kia marama te he o te hono
# Maamaa te he o te hono
# MAC Puna Wāhitau 0_0 Channel 0: 33445566
# MAC Puna Wāhitau 0_1 Channel 0: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 0: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 0: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 0: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 0: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 0: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 0: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 0: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 0: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 0: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 0: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 0: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 0: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 0: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 0: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 0: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 0: 0000ddee
# eCPRI Hongere Mana 0: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 0: 00000241
# putanga eCPRI Channel 0: 2
# MAC Puna Wāhitau 0_0 Channel 1: 33445566
# MAC Puna Wāhitau 0_1 Channel 1: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 1: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 1: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 1: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 1: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 1: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 1: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 1: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 1: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 1: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 1: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 1: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 1: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 1: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 1: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 1: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 1: 0000ddee
# eCPRI Hongere Mana 1: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 1: 00000241
# putanga eCPRI Channel 1: 2
# MAC Puna Wāhitau 0_0 Channel 2: 33445566
# MAC Puna Wāhitau 0_1 Channel 2: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 2: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 2: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 2: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 2: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 2: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 2: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 2: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 2: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 2: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 2: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 2: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 2: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 2: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 2: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 2: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 2: 0000ddee
# eCPRI Hongere Mana 2: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 2: 00000241
# putanga eCPRI Channel 2: 2
# MAC Puna Wāhitau 0_0 Channel 3: 33445566
# MAC Puna Wāhitau 0_1 Channel 3: 00007788
# Wāhitau Wāhitau MAC 0_0 Channel 3: 33445566
# Wāhitau Wāhitau MAC 0_1 Channel 3: 00007788
# Wāhitau Wāhitau MAC 1_0 Channel 3: 11223344
# Wāhitau Wāhitau MAC 1_1 Channel 3: 00005566
# Wāhitau Wāhitau MAC 2_0 Channel 3: 22334455
# Wāhitau Wāhitau MAC 2_1 Channel 3: 00006677
# Wāhitau Wāhitau MAC 3_0 Channel 3: 44556677
# Wāhitau Wāhitau MAC 3_1 Channel 3: 00008899
# Wāhitau Wāhitau MAC 4_0 Channel 3: 66778899
# MAC Wāhitau Wāhitau 4_1 Channel 3: 0000aabb
# MAC Wāhitau Wāhitau 5_0 Channel 3: 778899aa
# Wāhitau Wāhitau MAC 5_1 Channel 3: 0000bbcc
# MAC Wāhitau Wāhitau 6_0 Channel 3: 8899aabb
# Wāhitau Wāhitau MAC 6_1 Channel 3: 0000ccdd
# Wāhitau Wāhitau MAC 7_0 Channel 3: 99aabbcc
# MAC Wāhitau Wāhitau 7_1 Channel 3: 0000ddee
# eCPRI Hongere Mana 3: 00000041
# Whakahohe te haukoti eCPRI Hongere Mana 3: 00000241
# putanga eCPRI Channel 3: 2
# Te tatari mo te CPRI kia tutuki te hononga hono a HSYNC
# CPRI Channel 0 HSYNC ahua kua tutuki
# CPRI Channel 1 HSYNC ahua kua tutuki
# CPRI Channel 2 HSYNC ahua kua tutuki
# CPRI Channel 3 HSYNC ahua kua tutuki
# 11100250000 Tuhia 1 kia nego_bitrate_complete
# 11100650000 Pooti PROT_VER Channel 0
# ________________________________________________________
# 11100850000 Rēhita pōti: a0000010
# ________________________________________________________
# 13105050000 Pooti PROT_VER Channel 1
# ________________________________________________________
# 13105250000 Rēhita pōti: a0800010
# ________________________________________________________
# 13105950000 Pooti PROT_VER Channel 2
# ________________________________________________________
# 13106150000 Rēhita pōti: a1000010
# ________________________________________________________
# 13106850000 Pooti PROT_VER Channel 3
# ________________________________________________________
# 13107050000 Rēhita pōti: a1800010
# ________________________________________________________
# 13107750000 Tuhia 1 kia nego_protol_complete
# 13108150000 Pooti CM_STATUS.rx_fast_cm_ptr_valid Channel 0
# ________________________________________________________
# 13108350000 Rēhita pōti: a0000020
# ________________________________________________________
# 14272050000 Pooti CM_STATUS.rx_fast_cm_ptr_valid Channel 1
# ________________________________________________________
# 14272250000 Rēhita pōti: a0800020
# ________________________________________________________
# 14272950000 Pooti CM_STATUS.rx_fast_cm_ptr_valid Channel 2
# ________________________________________________________
# 14273150000 Rēhita pōti: a1000020
# ________________________________________________________
# 14273850000 Pooti CM_STATUS.rx_fast_cm_ptr_valid Channel 3
# ________________________________________________________
# 14274050000 Rēhita pōti: a1800020
# ________________________________________________________
# 14274750000 Tuhia 1 kia nego_cm_complete
# 14275150000 Tuhia 1 kia nego_vss_complete
# Tatari mo te CPRI Channel 0 ka tutuki HSYNC & raupapa whakaoho FSM STATE_F
# CPRI Channel 0 HSYNC & raupapa whakaoho FSM STATE_F kua tutuki
# Tatari mo te CPRI Channel 1 ka tutuki HSYNC & raupapa whakaoho FSM STATE_F
# CPRI Channel 1 HSYNC & raupapa whakaoho FSM STATE_F kua tutuki
# Tatari mo te CPRI Channel 2 ka tutuki HSYNC & raupapa whakaoho FSM STATE_F
# CPRI Channel 2 HSYNC & raupapa whakaoho FSM STATE_F kua tutuki
# Tatari mo te CPRI Channel 3 ka tutuki HSYNC & raupapa whakaoho FSM STATE_F
# CPRI Channel 3 HSYNC & raupapa whakaoho FSM STATE_F kua tutuki
# ________________________________________________________
# INFO: Kei waho o te mana tautuhi
# ________________________________________________________
#
#
# Channel 0 eCPRI TX tatau SOPs : 0
# Hongere 0 eCPRI TX EOPs tatau : 0
# Channel 0 eCPRI RX SOPs tatau : 0
# Channel 0 eCPRI RX EOPs tatau : 0
# Hoera 0 Nga tatau SOP PTP TX o waho : 0
# Hongere 0 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 0 Nga tatau SOP MISC TX o waho : 0
# Channel 0 Wawaho MISC TX EOPs tatau : 0
# Hoera 0 Nga tatau RX o waho: 0
# Hongere 0 Nga tatau RX EOP o waho : 0
# Channel 1 eCPRI TX tatau SOPs : 0
# Hongere 1 eCPRI TX EOPs tatau : 0
# Channel 1 eCPRI RX SOPs tatau : 0
# Channel 1 eCPRI RX EOPs tatau : 0
# Hoera 1 Nga tatau SOP PTP TX o waho : 0
# Hongere 1 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 1 Nga tatau SOP MISC TX o waho : 0
# Channel 1 Wawaho MISC TX EOPs tatau : 0
# Hoera 1 Nga tatau RX o waho: 0
# Hongere 1 Nga tatau RX EOP o waho : 0
# Channel 2 eCPRI TX tatau SOPs : 0
# Hongere 2 eCPRI TX EOPs tatau : 0
# Channel 2 eCPRI RX SOPs tatau : 0
# Channel 2 eCPRI RX EOPs tatau : 0
# Hoera 2 Nga tatau SOP PTP TX o waho : 0
# Hongere 2 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 2 Nga tatau SOP MISC TX o waho : 0
# Channel 2 Wawaho MISC TX EOPs tatau : 0
# Hoera 2 Nga tatau RX o waho: 0
# Hongere 2 Nga tatau RX EOP o waho : 0
# Channel 3 eCPRI TX tatau SOPs : 0
# Hongere 3 eCPRI TX EOPs tatau : 0
# Channel 3 eCPRI RX SOPs tatau : 0
# Channel 3 eCPRI RX EOPs tatau : 0
# Hoera 3 Nga tatau SOP PTP TX o waho : 0
# Hongere 3 Ka tatau nga PTP TX EOP o waho : 0
# Hoera 3 Nga tatau SOP MISC TX o waho : 0
# Channel 3 Wawaho MISC TX EOPs tatau : 0
# Hoera 3 Nga tatau RX o waho: 0
# Hongere 3 Nga tatau RX EOP o waho : 0
# ________________________________________________________
# INFO: Tīmatahia te tuku pākete
# ________________________________________________________
#
#
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 0
# INFO: Channel 0 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 0 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 0 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 0 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 0 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 1
# INFO: Channel 1 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 1 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 1 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 1 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 1 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 2
# INFO: Channel 2 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 2 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 2 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 2 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 2 eCPRI Waho TX Misc whakawhitinga waka kua oti
# INFO: E tatari ana kia oti te whakawhiti waka eCPRI TX Channel 3
# INFO: Channel 3 eCPRI TX whakawhiti waka kua oti
# INFO: Tatari mo te Channel 3 eCPRI Waho TX PTP whakawhiti waka ki
oti
# INFO: Channel 3 eCPRI Waho TX PTP whakawhiti waka kua oti
# INFO: E tatari ana mo te Channel 3 eCPRI External TX Misc traffic transfer to
oti
# INFO: Channel 3 eCPRI Waho TX Misc whakawhitinga waka kua oti
# ________________________________________________________
# INFO: Kati te tuku kete
# ________________________________________________________
#
#
# ________________________________________________________
# INFO: Te tirotiro i nga tatauranga mokete
# ________________________________________________________
#
#
# Channel 0 eCPRI SOP i tukuna: 50
# Channel 0 eCPRI EOP i tukuna: 50
# Channel 0 eCPRI SOPs i whiwhi: 50
# Channel 0 eCPRI EOPs i whiwhi: 50
# Channel 0 eCPRI Hapa i korerotia: 0
# Hoera 0 Nga SOP PTP o waho i tukuna: 4
# Hoera 0 Nga PTP EOP o waho i tukuna: 4
# Channel 0 Nga MISC SOP o waho i tukuna: 128
# Channel 0 Nga MISC EOP o waho i tukuna: 128
# Channel 0 Nga SOP o waho i whiwhi: 132
# Channel 0 Nga EOP o waho i whiwhi: 132
# Hoera 0 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 0 Nga PTP EOP o waho i whiwhi: 4
# Channel 0 Nga MISC SOP o waho i whiwhi: 128
# Channel 0 Nga MISC EOP o waho i whiwhi: 128
# Hongere 0 Hapa o waho i ripoatahia: 0
# Hongere 0 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 1 eCPRI SOP i tukuna: 50
# Channel 1 eCPRI EOP i tukuna: 50
# Channel 1 eCPRI SOPs i whiwhi: 50
# Channel 1 eCPRI EOPs i whiwhi: 50
# Channel 1 eCPRI Hapa i korerotia: 0
# Hoera 1 Nga SOP PTP o waho i tukuna: 4
# Hoera 1 Nga PTP EOP o waho i tukuna: 4
# Channel 1 Nga MISC SOP o waho i tukuna: 128
# Channel 1 Nga MISC EOP o waho i tukuna: 128
# Channel 1 Nga SOP o waho i whiwhi: 132
# Channel 1 Nga EOP o waho i whiwhi: 132
# Hoera 1 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 1 Nga PTP EOP o waho i whiwhi: 4
# Channel 1 Nga MISC SOP o waho i whiwhi: 128
# Channel 1 Nga MISC EOP o waho i whiwhi: 128
# Hongere 1 Hapa o waho i ripoatahia: 0
# Hongere 1 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 2 eCPRI SOP i tukuna: 50
# Channel 2 eCPRI EOP i tukuna: 50
# Channel 2 eCPRI SOPs i whiwhi: 50
# Channel 2 eCPRI EOPs i whiwhi: 50
# Channel 2 eCPRI Hapa i korerotia: 0
# Hoera 2 Nga SOP PTP o waho i tukuna: 4
# Hoera 2 Nga PTP EOP o waho i tukuna: 4
# Channel 2 Nga MISC SOP o waho i tukuna: 128
# Channel 2 Nga MISC EOP o waho i tukuna: 128
# Channel 2 Nga SOP o waho i whiwhi: 132
# Channel 2 Nga EOP o waho i whiwhi: 132
# Hoera 2 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 2 Nga PTP EOP o waho i whiwhi: 4
# Channel 2 Nga MISC SOP o waho i whiwhi: 128
# Channel 2 Nga MISC EOP o waho i whiwhi: 128
# Hongere 2 Hapa o waho i ripoatahia: 0
# Hongere 2 Wā-wahoamp I korerohia te Hapa Matimati: 0
# Channel 3 eCPRI SOP i tukuna: 50
# Channel 3 eCPRI EOP i tukuna: 50
# Channel 3 eCPRI SOPs i whiwhi: 50
# Channel 3 eCPRI EOPs i whiwhi: 50
# Channel 3 eCPRI Hapa i korerotia: 0
# Hoera 3 Nga SOP PTP o waho i tukuna: 4
# Hoera 3 Nga PTP EOP o waho i tukuna: 4
# Channel 3 Nga MISC SOP o waho i tukuna: 128
# Channel 3 Nga MISC EOP o waho i tukuna: 128
# Channel 3 Nga SOP o waho i whiwhi: 132
# Channel 3 Nga EOP o waho i whiwhi: 132
# Hoera 3 Nga SOP PTP o waho i whakawhiwhia: 4
# Hoera 3 Nga PTP EOP o waho i whiwhi: 4
# Channel 3 Nga MISC SOP o waho i whiwhi: 128
# Channel 3 Nga MISC EOP o waho i whiwhi: 128
# Hongere 3 Hapa o waho i ripoatahia: 0
# Hongere 3 Wā-wahoamp I korerohia te Hapa Matimati: 0
# ________________________________________________________
# INFO: Kua PAA te Whakamatau
#
# ________________________________________________________
1.4.1. Te Whakahohe i te Whirihoranga Anō ki te IP Itarangi
Ma te taunoa, kua monoa te whirihora hihiko i roto i te hoahoa IP eCPRI exampe tika ana ki te Intel Stratix 10 (E-tile me te H-tile) me te Intel Agilex 7 (E-tile) hoahoa o muaamples.
- Rapua te rarangi e whai ake nei i roto i te test_wrapper.sv mai i te mea hangaample_dir> / whaihanga / raarangi whakamatautau: tawhā ETHERNET_DR_EN = 0
- Hurihia te uara mai i te 0 ki te 1: tawhā ETHERNET_DR_EN = 1
- Whakahokia ano te whaihanga ma te whakamahi i te ahua o mua i hangaiaampte whaiaronga hoahoa.
1.5. Te whakahiato i te Kaupapa Whakaemi-anake
Hei whakahiato i te whakahiato-anake exampte kaupapa, whai i enei mahi:
- Me whakarite hoahoa whakahiato exampkua oti te reanga.
- I roto i te rorohiko Intel Quartus Prime Pro Edition, whakatuwheratia te kaupapa Intel Quartus Prime Pro Editionample_dir>/synthesis/quartus/ ecpri_ed.qpf.
- I te tahua Tukatuka, pawhiria te Tīmata Whakahiato.
- Whai muri i te whakahiato angitu, ka watea mai nga purongo mo te wa me te whakamahi rawa i to huihuinga Intel Quartus Prime Pro Edition. Haere ki te Tukatuka ➤ Ripoata whakahiato ki view te purongo taipitopito mo te whakahiato.
Nga korero e pa ana
Nga Rerenga Hoahoa Poraka
1.6. Te whakahiato me te whirihora i te Hoahoa Example i roto i nga taputapu
Hei whakahiato i te hoahoa taputapu exampme te whirihora i runga i to taputapu Intel, whai i enei mahi:
- Whakaritea te hoahoa taputapu exampkua oti te reanga.
- I roto i te rorohiko Intel Quartus Prime Pro Edition, whakatuwheratia te kaupapa Intel Quartus Primeample_dir>/synthesis/quartus/ecpri_ed.qpf.
- I te tahua Tukatuka, pawhiria te Tīmata Whakahiato.
- Whai muri i te whakahiato angitu, he .sof file e wātea ana i roto iample_dir>/ synthesis/quartus/output_files whaiaronga. A pee i enei mahi ki te whakarite i te hoahoa taputapu exampi runga i te taputapu Intel FPGA:
a. Honoa te Kete Whakawhanaketanga ki te rorohiko kaihautu.
b. Whakarewahia te tono Mana Karaka, he waahanga o te kete whanaketanga, ka tautuhi i nga iarere hou mo te hoahoa o mua.ample. Kei raro ko te tautuhinga auau i te tono Mana Karaka:
• Mena kei te whai koe i to hoahoa ki runga i te Kete Whanaketanga Intel Stratix 10 GX SI:
— U5, OUT8- 100 MHz
— U6, OUT3- 322.265625 MHz
— U6, OUT4 me OUT5- 307.2 MHz
• Mēnā kei te whai koe i to hoahoa i runga i te Kete Whanaketanga Intel Stratix 10 TX SI:
— U1, CLK4- 322.265625 MHz (Mo te reiti raraunga 25G)
— U6- 156.25 MHz (Mo te reiti raraunga 10G)
— U3, OUT3- 100 MHz
— U3, OUT8- 153.6 MHz
• Mena kei te whai koe i to hoahoa ki runga Intel Agilex 7 F-Series Transceiver-SoC Development Kit:
— U37, CLK1A- 100 MHz
— U34, CLK0P- 156.25 MHz
— U38, OUT2_P- 153.6 MHz
• Mēnā kei te whai koe i to hoahoa ki runga Intel Arria 10 GX SI Development Kit:
— U52, CLK0- 156.25 MHz
— U52, CLK1- 250 MHz
— U52, CLK3- 125 MHz
— Y5- 307.2 MHz
— Y6- 322.265625 MHz
c. I runga i te tahua Utauta, pawhiria te Kaihōtaka.
d. I roto i te Kaihōtaka, pāwhiritia te Tatūnga Pūmārō.
e. Tīpakohia he taputapu hōtaka.
f. Tīpakohia ka tāpirihia te Kete Whakawhanaketanga ka taea e to hui Intel Quartus Prime Pro Edition te hono atu.
g. Me whakarite kua tautuhia te Aratau ki a JTAG.
h. Tīpakohia te taputapu ka paato i te Tāpiri Pūrere. Ka whakaatuhia e te Kaihōtaka he hoahoa paraka o nga hononga i waenga i nga taputapu i runga i to papa.
i. Utaina te .sof file ki to taputapu Intel FPGA.
j. Utaina te whakatakotoranga Mahinga me te hono (.elf) file ki to Intel Stratix 10 ranei
Ko te taputapu Intel Agilex 7 mena ka whakamahere koe ki te mahi i te whirihora hihiko (DR) hei huri i te reeti raraunga i waenga i te 25G me te 10G. Whaia nga tohutohu mai i te Whakaputa me te Tikiake i te Whakahotaka Whakamahinga me te Whakahononga (.elf). File kei te wharangi 38 hei whakaputa i te .elf file.
k. I te rarangi me to .sof, tirohia te pouaka Papatono / Whirihora mo te .sof file.
l. Pāwhiritia Tīmata.
Nga korero e pa ana
- Hoahoa Poraka
- Intel Quartus Prime Programmer Aratohu Kaiwhakamahi
- Te Tatari me te Patuiro Hoahoa me te Papatohu Pūnaha
- Intel Agilex 7 F-Series Transceiver-SoC Development Kit Aratohu Kaiwhakamahi
- Intel Stratix 10 GX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
- Intel Stratix 10 TX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
- Intel Arria 10 GX Transceiver Signal Integrity Development Kit Aratohu Kaiwhakamahi
1.7. Te whakamatautau i te eCPRI Intel FPGA IP Design Example
Whai muri i to whakahiato i te eCPRI Intel FPGA IP hoahoa matua exampme te whirihora i runga i to taputapu Intel FPGA, ka taea e koe te whakamahi i te Papatohu Pūnaha ki te hotaka i te matua IP me ona rehita matua PHY IP taketake.
Hei whakakā i te Pūnaha Papatohu me te whakamatautau i te hoahoa taputapu exampe, whai i enei kaupae:
- I muri i te hoahoa taputapu exampKua whirihorahia i runga i te taputapu Intel, i roto i te rorohiko Intel Quartus Prime Pro Edition, i runga i te tahua Utauta, panuihia nga Utauta Patuiro Pūnaha ➤ Papatohu Pūnaha.
- I te pihanga Tcl Console, hurihia te whaiaronga kiample_dir>/ synthesis/quartus/hardware_test ka patohia te whakahau e whai ake nei hei whakatuwhera hononga ki te JTAG rangatira ka timata te whakamatautau:
• puna ecpri_agilex.tcl mo nga hoahoa Intel Agilex 7
• puna ecpri_s10.tcl mo Intel Stratix 10 hoahoa
• puna ecpri_a10.tcl mo Intel Arria 10 hoahoa - Mo o rereketanga taputapu Intel Stratix 10, Intel Agilex 7 E-tile ranei, me mahi koe i tetahi whakahau whakahuri o roto, o waho ranei i muri i to hotaka i te .sof file:
a. Whakarerekē TEST_MODE taurangi i te rere.c file hei whiriwhiri i te aratau whakamuri:TEST_MODE Mahi 0 Whakahoki whakamuri rangatū mo te whaihanga anake 1 Ka taea te hurihanga rangatū mo te taputapu anake 2 Te hurihanga rangatū me te whakatikatika 3 Te whakatikatika anake Me whakahiato me te whakahou i te rorohiko NIOS II ina huri koe i te rere.c file.
b. Whakahoutia te .elf file me te hotaka ki te poari mo tetahi atu wa, ka whakahou ano i te .sof file. - Whakamatauhia te mahinga hoahoa ma nga whakahau e tautokohia ana i te tuhinga papatohu punaha. Ko te tuhinga papatohu punaha e whakarato ana i nga whakahau whai hua mo te panui i nga tatauranga me nga ahuatanga e taea ai te hoahoa.
Ripanga 4. Nga Whakahaua Tuhituhi Papatohu Pūnaha
Whakahau | Whakaahuatanga |
koropiko_i | Whakahohe TX ki RX roto rangatū loopback. Whakamahia mo nga taputapu Intel Stratix 10 H-tile me Intel Arria 10 anake. |
takahuri | Ka whakakorehia te TX ki te RX i roto i te whakahuri rangatū. Whakamahia mo nga taputapu Intel Stratix 10 H-tile me Intel Arria 10 anake. |
hono _ init _ int _1pbk | Whakahohehia te TX ki te RX o roto rangatū loopback i roto i te transceiver, me te mahi i te rere whakatikatika transceiver. E tika ana ki nga hoahoa Intel Stratix 10 E-tile me Intel Agilex 7 E-tile anake. |
hono _ init _ ext _1pbk | Whakahohehia te TX ki te RX loopback o waho me te mahi i te rerenga whakatikatika whakawhiti. E tika ana ki nga hoahoa Intel Stratix 10 E-tile me Intel Agilex 7 E-tile anake. |
waka mono mono | Ka monohia te kaihanga waka me te kaitaki. |
tatauranga chkmac | Ka whakaatu i nga tatauranga mo te Ethernet MAC. |
panui_ whakamatautau_ tatauranga | Whakaatuhia nga tatauranga hapa mo te kaihanga waka me nga kaitaki. |
ext _ haere tonu _ aratau _en | Ka tautuhi ano i te katoa o te punaha hoahoa, ka taea e te kaihanga waka ki te whakaputa i nga paatete waka haere tonu. |
dr _ 25g _ to _ lOg _etile | Hurihia te reiti raraunga o te Ethernet MAC mai i te 25G ki te 10G. Whakamahia mo nga taputapu Intel Stratix 10 E-tile me Intel Agilex 7 E-tile anake. |
dr_25g_ki_10g_htile | Hurihia te reiti raraunga o te Ethernet MAC mai i te 25G ki te 10G. Whakamahia mo nga taputapu H-tile anake |
dr_10g_to_25g_etile | Hurihia te reiti raraunga o te Ethernet MAC mai i te 10G ki te 25G. Whakamahia mo nga taputapu Intel Stratix 10 E-tile me Intel Agilex 7 E-tile anake. |
dr _ 25g _ to _ lOg _htile | Hurihia te reiti raraunga o te Ethernet MAC mai i te 10G ki te 25G. Whakamahia mo nga taputapu H-tile anake. |
Ko nga s e whai ake neiampKo te putanga e whakaatu ana i te oma whakamatautau angitu:
Tānga Papatohu Pūnaha (Tau o Hongere = 1)
Te Kaute 0 EXT PTP TX SOP: 256
Te Kaute 0 EXT PTP TX EOP: 256
Te Kaute 0 EXT MISC TX SOP: 36328972
Te Kaute 0 EXT MISC TX EOP: 36369511
Te Kaute 0 EXT RX SOP: 36410364
Te Kaute 0 EXT RX EOP: 36449971
Hongere 0 EXT Kaitaki Hapa: 0
Hoerenga 0 EXT Kaitaki Hapa Kaute: 0
Hongere 0 EXT PTP Maihao Hapa: 0
Hoerenga 0 EXT PTP Matimati Hapa Kaute: 0
Te Kaute 0 TX SOP: 1337760
Te Kaute 0 TX EOP: 1339229
Kaute Hoera 0 RX SOP: 1340728
Kaute Hoera 0 RX EOP: 1342555
Nga Hapa Kaitaki Hoera 0: 0
Hoirangi 0 Kaitaki Hapa Kaute: 0
================================================== ============================
=============
ETHERNET MAC TANGATA MŌ Te Hongere 0 (Rx)
================================================== ============================
=============
Tapare Waahanga : 0
Ko nga Tapare Karekau : 0
Rahi Matau me nga Tapare Hapa FCS : 0
Raraunga Whakamaamaha Nga Tapare Hapa : 0
Raraunga Paoho Nga Tapare Hapa : 0
Raraunga Unicast Tapare Hapa : 0
64 Paita Anga : 3641342
65 – 127 Paita Tapare : 0
128 – 255 Paita Tapare : 37404809
256 – 511 Paita Tapare : 29128650
512 – 1023 Paita Tapare : 0
1024 – 1518 Paita Tapare : 0
1519 – MAX Paita Tāpare : 0
> Tapare Paita MAX : 0
Raraunga Whakamaamaha OK Anga : 70174801
Raraunga Paoho OK Tapare : 0
Raraunga Unicast Papa OK : 0
Nga Tapare Mana Whakamaamaha : 0
Nga Tapare Mana Paoho : 0
Tapare Mana Unicast : 0
Tatari Mana Tapare : 0
Utu Utu Tika: 11505935812
Tāpare Octets OK : 12918701444
Rx Morahi Anga Roa : 1518
Tetahi Rahi me te Tapare Hapa FCS : 0
Mana Whakamaamaha Tapare Hapa : 0
Mana Paoho Hapa Tapare : 0
Mana Unicast Papa Hapa : 0
Whakatatarihia te mana o nga Tapare Hapa : 0
Rx Frame Timata : 70174801
E whai ake nei ko te sampte putanga mo te 25G ki te 10G DR oma whakamatautau:
Tānga Papatohu Pūnaha (25G ki te 10G DR E-tile)
Tīmatahia te Whirihoranga Anō mo te Itarangi 25G -> 10G
DR Angitu 25G -> 10G
RX PHY Rēhita Urunga: Takina Nga Waea Karaka (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY Tunga Pooti
Tūnga Maukati Auautanga Rx 0x0000000f
Karaka Mac kei te ahua pai? 0x00000001
Rx Frame Hapa ? 0x00000000
Rx PHY Kua Whakaritea Katoa? 0x00000001
Pooti RX PHY Channel 0
RX PHY Channel 0 kei te haere tonu!
Tānga Papatohu Pūnaha (25G ki te 10G DR H-tile)
Tīmatahia te Whirihoranga Anō mo te Itarangi 25G -> 10G
DR Angitu 25G -> 10G
RX PHY Rēhita Urunga: Takina Nga Waea Karaka (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY Tunga Pooti
Tūnga Maukati Auautanga Rx 0x00000001
Karaka Mac kei te ahua pai? 0x00000007
Rx Frame Hapa ? 0x00000000
Rx PHY Kua Whakaritea Katoa? 0x00000001
Pooti RX PHY Channel 0
RX PHY Channel 0 kei te haere tonu!
Tānga Papatohu Pūnaha (10G ki te 25G DR E-tile)
Tīmatahia te Whirihoranga Anō mo te Itarangi 10G -> 25G
DR Angitu 10G -> 25G
RX PHY Rēhita Urunga: Takina Nga Waea Karaka (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY Tunga Pooti
Tūnga Maukati Auautanga Rx 0x0000000f
Karaka Mac kei te ahua pai? 0x00000001
Rx Frame Hapa ? 0x00000000
Rx PHY Kua Whakaritea Katoa? 0x00000001
Pooti RX PHY Channel 0
RX PHY Channel 0 kei te haere tonu!
Tānga Papatohu Pūnaha (10G ki te 25G DR H-tile)
Tīmatahia te Whirihoranga Anō mo te Itarangi 10G -> 25G
DR Angitu 10G -> 25G
RX PHY Rēhita Urunga: Takina Nga Waea Karaka (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY Tunga Pooti
Tūnga Maukati Auautanga Rx 0x00000001
Karaka Mac kei te ahua pai? 0x00000007
Rx Frame Hapa ? 0x00000000
Rx PHY Kua Whakaritea Katoa? 0x00000001
Pooti RX PHY Channel 0
RX PHY Channel 0 kei te haere tonu!
Hoahoa Example Whakaahuatanga
Ko te hoahoa exampe whakaatu ana te mahinga taketake o te eCPRI IP matua. Ka taea e koe te whakaputa i te hoahoa mai i te Exampripa Hoahoa i roto i te ētita tawhā eCPRI IP.
2.1. Nga ahuatanga
- TX roto me RX aratau loopback rangatū
- Ka whakaputa aunoa i nga putea rahi kua whakaritea
- Nga kaha ki te tirotiro i nga paatete taketake
- Te kaha ki te whakamahi i te Papatohu Pūnaha ki te whakamatautau i te hoahoa me te tautuhi i te hoahoa mo te kaupapa whakamatautau ano
2.2. Hoahoa Pūmārō Example
Whakaatu 5. Hoahoa Poraka mo Intel Agilex 7 F-tile Designs
Intel Corporation. Katoa nga mana. Ko Intel, ko te tohu Intel, me etahi atu tohu Intel he tohu hokohoko na Intel Corporation me ona apiti. Ka whakamanahia e Intel te mahinga o ana hua FPGA me nga hua semiconductor ki nga whakaritenga o naianei i runga i te raihana paerewa a Intel, engari ka whai mana ki te whakarereke i nga hua me nga ratonga i nga wa katoa kaore he panui. Karekau a Intel he kawenga, he taunahatanga ranei i puta mai i te tono, i te whakamahinga ranei o nga korero, hua, ratonga ranei e whakaahuatia ana i konei engari ko nga mea i tino whakaaehia a Intel. Ka tohutohuhia nga kaihoko a Intel ki te tiki i te putanga hou o nga whakaritenga taputapu i mua i te whakawhirinaki ki nga korero kua whakaputaina me i mua i te tuku ota mo nga hua, ratonga ranei. *Ko etahi atu ingoa me etahi atu tohu ka kiia he taonga na etahi atu.
Whakaatu 6. Hoahoa Poraka mo Intel Agilex 7 E-tile DesignsWhakaahua 7. Hoahoa Poraka mo nga Hoahoa Intel Stratix 10
Whakaatu 8. Hoahoa Poraka mo nga Hoahoa Intel Arria 10Ko te eCPRI Intel FPGA IP hoahoa taputapu matua exampKei roto i nga waahanga e whai ake nei:
eCPRI Intel FPGA IP
Ka whakaaehia nga raraunga mai i nga kaiwhakatika waka i whakauruhia ki roto i te takai whakamatautau me te whakarite i nga raraunga mo te tuku ki te IP Ethernet.
IP IP
- F-tile Itapa Intel FPGA IP Maama (Intel Agilex 7 F-tile hoahoa)
- IP Maama E-tile mo Itarangi (Intel Stratix 10, Intel Agilex 7 ranei nga hoahoa E-tile)
- 25G Itarangi Intel Stratix 10 IP (Intel Stratix 10 H-tile hoahoa)
- Itarangi iti 10G MAC IP me 1G/10GbE me 10GBASE-KR PHY IP (Intel Arria 10 hoahoa)
Kawa Wa Tika (PTP) IO PLL
Mo nga hoahoa Intel Stratix 10 H-tile—Nana ki te whakaputa i te karaka tohutoro inenga inenga mo te Itarangi IP me te sampkaraka mo te Waa o te Ra (TOD) puunaharoto. Mo te 25G Ethernet Intel Stratix 10 FPGA IP me te ahuatanga IEEE 1588v2, ka tūtohu a Intel ki a koe ki te tautuhi i te auau o tenei karaka ki te 156.25 MHz. Tirohia te 25G Ethernet Intel Stratix 10 FPGA IP Aratohu Kaiwhakamahi me Intel Stratix 10 H-tile Transceiver PHY Aratohu Kaiwhakamahi mo etahi atu korero. Ko te PTP IOPLL hoki e whakaputa te karaka tohutoro mo te eCPRI IO PLL i roto i te tikanga whakaheke.
Mo nga hoahoa a Intel Arria 10—Ka tere ki te whakaputa i nga whakaurunga karaka 312.5 MHz me 156.25 MHz mo te Low Latency Ethernet 10G MAC IP me te 1G/10GbE, 10GBASE-KR PHY IP, me te eCPRI IP.
eCPRI IO PLL
Ka whakaputa i te putanga karaka matua o te 390.625 MHz mo te ara TX me te RX o te IP eCPRI, me nga waahanga waka.
Tuhipoka: Ko tenei poraka kei roto anake i te hoahoa o muaampi hangaia mo nga taputapu Intel Stratix 10 me Intel Agilex 7.
Tuhipoka: Ko te putanga o naianei o te eCPRI Intel FPGA IP e tautoko ana i te momo IWF 0. Mo nga taputapu Intel Agilex 7 F-tile, ko te hoahoa o muaampKo te whakahohea me te waahanga IWF kaore i te tautokohia.
Ina whakaputa koe i te hoahoa exampme te Tawhā Tautoko Interworking (IWF) kua whakawetohia, ka rere tika te hokohoko o te putea mai i te waahanga takai whakamatautau ki te atanga puna / totohu Avalon-ST me te atanga puna / totohu o waho o te IP eCPRI.
Ina whakaputa koe i te hoahoa exampme te tawhā Tautoko Interworking Function (IWF) kua whakakāhia, ka rere te waka pākete ki te atanga totohu IWF Avalon-ST mai i te kōwae takai whakamātautau tuatahi, ka puta mai i te atanga puna IWF Avalon-ST ki te puna / totohu eCPRI Avalon-ST atanga.
CPRI MAC
Ka whakarato i te waahanga CPRI o te paparanga 1 me nga kawa katoa o te paparanga 2 mo te whakawhiti i te rererangi kaiwhakamahi, C&M, me nga korero tukutahi i waenga i te REC me te RE tae atu ki waenga i nga RE e rua,
CPRI PHY
Ka whakarato i te toenga o te kawa CPRI layer 1 mo te waehere raina, te whakatikatika hapa moka/kitenga, me etahi atu.
Tuhipoka: Ko te CPRI MAC me te CPRI PHY IP i whakauruhia ki tenei hoahoa exampKua whirihorahia kia rere i te reiti raina CPRI kotahi 9.8 Gbps anake. Ko te hoahoa exampe kore e tautoko te reiti raina whiriwhiringa-aunoa i roto i te tukunga o naianei.
Kaitakai Whakamatau
Kei roto ko nga kaihanga waka me nga kaitaki e whakaputa ana i nga huinga momo putea raraunga ki nga atanga Avalon Streaming (Avalon-ST) o te IP eCPRI penei i raro nei:
- Ko nga paatete eCPRI ki te puna Avalon-ST atanga / totohu (kua monoa te ahua IWF):
— Ka tautoko noa i te momo karere 2.
— Whakatupuranga aratau hoki-ki-muri me te whakahiato tauira aratau me te rahi o te utunga o te 72 paita mo ia kete.
— Ka taea te whirihora ma te TKT kia rere i roto i te aratau kore-tonu, haere tonu ranei.
— TX/RX tūnga tatauranga pākete e wātea ana ki te uru mā TKT. - Ngā pākete eCPRI ki te puna Avalon-ST / atanga totohu (kua whakahohea te āhuatanga IWF):
— Ka tautoko noa i te momo karere 0 i te tukunga o naianei.
— Whakanuia te aratau tauira me te whakaputanga aputa i waenga me te rahi o te utunga 240 paita mo ia paatete.
— Ka taea te whirihora ma te TKT kia rere i roto i te aratau kore-tonu, haere tonu ranei.
— TX/RX tūnga tatauranga pākete e wātea ana ki te uru mā TKT. - Kawa Tikanga Tika (1588 PTP) me nga momo putea PTP kore-PTP ki nga atanga puna o waho:
— Whakatupuranga pane Itarangi pateko me nga tawhā kua tautuhia i mua: Ethertype0x88F7, Momo Karere- Opcode 0 (Tukutahi), me te PTP putanga-0.
— Ko te hanga aratau tauira kua tautuhia i mua me te mokowhiti mo te 2 huringa me te rahi o te utu 57 paita mo ia paatete.
— 128 nga paatete ka mahia i roto i te waa o ia hekona.
— Ka taea te whirihora ma te TKT kia rere i roto i te aratau kore-tonu, haere tonu ranei.
— TX/RX tūnga tatauranga pākete e wātea ana ki te uru mā TKT. - Mokete rereke-kore-PTP o waho:
— Whakatupuranga Pane Itarangi me te tawhā kua tautuhia, Ethertype- 0x8100 (kore-PTP).
— Te whakaputa aratau tauira PRBS me te mokowhiti mo te 2 huringa me te rahi o te utu 128 paita mo ia paatete.
— Ka taea te whirihora ma te TKT kia rere i roto i te aratau kore-tonu, haere tonu ranei.
— TX/RX tūnga tatauranga pākete e wātea ana ki te uru mā TKT.
Waa o te Ra (TOD).
E rua nga waahanga IEEE 1588 TOD mo te TX me te RX, me tetahi IEEE 1588 TOD Synchronizer i hangaia e te rorohiko Intel Quartus Prime.
Nios® II Pūnaharoto
Kei roto i te piriti Avalon-MM ka taea e Avalon-MM te apitireihana raraunga i waenga i te tukatuka Nios II, te takai whakamatautau, me te Avalon® -MM poraka wetewaehere wahitau.
Kei a Nios II te kawenga ki te huri i nga reeti reeti raraunga i runga i te putanga mai i te uara rehita rehita_whakawhiti a te takai whakamatautau. Ka whakatakotohia e tenei poraka te rehita e tika ana ina tae mai he tono mai i te takai whakamatautau.
Tuhipoka: Karekau tenei poraka i roto i te hoahoa exampi hangaia mo nga taputapu Intel Arria 10 me Intel Agilex 7 F-tile.
Papatohu Pūnaha
Ka whakarato i te atanga ratarata-kaiwhakamahi mo koe ki te mahi i te mahi patuiro taumata tuatahi me te aroturuki i te mana o te IP, me nga kaihanga waka me nga kaitaki.
Mana Demo
Kei roto i tenei kōwae he kōwae tukutahi tautuhi, me ngā kōwae In-system Source and Probe (ISSP) mo te hangai i te pūnaha hoahoa me te tukanga arawhiti.
Nga korero e pa ana
- 25G Itarangi Intel Stratix 10 FPGA IP Aratohu Kaiwhakamahi
- E-tile Aratohu Kaiwhakamahi Hard IP
- eCPRI Intel FPGA IP Aratohu Kaiwhakamahi
- 25G Itarangi Intel Stratix 10 FPGA IP Hoahoa Exampte Aratohu Kaiwhakamahi
- E-tile Hard IP mo Intel Stratix 10 Hoahoa Exampte Aratohu Kaiwhakamahi
- Intel Stratix 10 L- me H-Tile Transceiver PHY Aratohu Kaiwhakamahi
- E-Tile Transceiver PHY Aratohu Kaiwhakamahi
- Intel Stratix 10 10GBASE-KR PHY IP Aratohu Kaiwhakamahi
- E-tile Hard IP Intel Agilex Design Exampte Aratohu Kaiwhakamahi
2.3. Hoahoa whaihanga Example
Ko te hoahoa eCPRI exampKa hangaia e ia he papa whakamatautau me te whaihanga files e whakamohio ana i te eCPRI Intel FPGA IP matua ina kowhiria e koe te whiringa Whakaakoranga, Synthesis me te Whakaakoranga.
Whakaatu 9. eCPRI Intel FPGA IP Simulation Block Diagram
Tuhipoka: Ko te poraka Nios II Subsystem kaore i roto i te hoahoa o muaampi hangaia mo nga taputapu Intel Arria 10 me Intel Agilex 7 F-tile.
I roto i tenei hoahoa exampNa, ka whakaratohia e te papa whakamatautau whaihanga nga mahi taketake penei i te whakaoho me te tatari mo te raka, te tuku me te tango i nga putea.
Ko te oma whakamatautau angitu ka whakaatu i te putanga e whakau ana i te whanonga e whai ake nei:
- Ko te arorau kiritaki ka tautuhi ano i te matua IP.
- Ko te arorau a te kiritaki e tatari ana mo te tirohanga ara raraunga RX.
- Ka tukuna e te arorau a te kiritaki nga paatete i runga i te atanga Avalon-ST.
- Whiwhi me te tirotiro mo te kiko me te tika o nga paatete.
- Whakaatuhia te karere "TEST PASSED".
2.4. Tohu Atanga
Ripanga 5. Hoahoa Example Tohu Atanga
Waitohu | Te aronga | Whakaahuatanga |
clk_ref | Whakauru | Karaka tohutoro mo te Ethernet MAC. • Mo te Intel Stratix 10 E-tile, Intel Agilex 7 E-tile me nga hoahoa F-tile, 156.25 MHz whakauru karaka mo te E-tile Ethernet Hard IP matua, F-tile Ethernet Hard IP matua. Hono atu ki i_clk_ref[0] i roto i te Ethernet Hard IP. • Mo nga hoahoa Intel Stratix 10 H-tile, he whakaurunga karaka 322.2625 MHz mo te Transceiver ATX PLL me te 25G Ethernet IP. Honoa ki pll_refclk0[0] i roto i te Transceiver ATX PLL me clk_ref[0] i roto i te 25G Ethernet IP. • Mo nga hoahoa Intel Arria 10, he whakauru karaka 322.265625 MHz mo te Transceiver ATX PLL me te 1G / 10GbE me te 10GBase-KR PHY IP. Honoa ki pll_refclk0[0] i roto i te Transceiver ATX PLL me rx_cdr_ref_clk_10g[0] i te 1G/ 10GbE me te 10G BASE-KR PHY IP. |
tod_sync_sampling_clk | Whakauru | Mo nga hoahoa Intel Arria 10, he whakaurunga karaka 250 MHz mo te punaha-roto TOD. |
clk100 | Whakauru | Karaka whakahaere. Ka whakamahia tenei karaka ki te whakaputa latency_clk mo te PTP. Peia i te 100 MHz. |
mgmt_reset_n | Whakauru | Tautuhi tohu mo te punaha Nios II. |
tx_rangatū | Putanga | TX raraunga rangatū. Tautoko ake ki te 4 hongere. |
rx_rangatū | Whakauru | RX raraunga rangatū. Tautoko ake ki te 4 hongere. |
iwf_cpri_ehip_ref_clk | Whakauru | E-tile CPRI PHY tāuru karaka tohutoro. Ko tenei karaka anake kei roto i te Intel Stratix 10 E-tile me te Intel Agilex 7 E-tile hoahoa. Peia i te 153.6 MHz mo te 9.8 Gbps CPRI reiti raina. |
iwf_cpri_pll_refclk0 | Putanga | Karaka tohutoro CPRI TX PLL. • Mo nga hoahoa Intel Stratix 10 H-tile: Peia i te 307.2 MHz mo te tere raraunga CPRI 9.8 Gbps. • Mo nga hoahoa Intel Stratix 10 E-tile me Intel Agilex 7 E- tile: Peia i te 156.25 MHz mo te tere raraunga CPRI 9.8 Gbps. |
iwf_cpri_xcvr_cdr_refclk | Putanga | Karaka tohutoro CDR kaiwhiwhi CPRI. Ko tenei karaka anake kei roto i nga hoahoa Intel Stratix 10 H-tile. Peia i te 307.2 MHz mo te 9.8 Gbps reeti raina CPRI. |
iwf_cpri_xcvr_txdataout | Putanga | Ka tukuna e te CPRI nga raraunga rangatū. Tautoko ake ki te 4 hongere. |
iwf_cpri_xcvr_rxdatain | Putanga | CPRI kaiwhiwhi raraunga rangatū. Tautoko ake ki te 4 hongere. |
cpri_gmii_clk | Whakauru | CPRI GMII 125 MHz karaka whakauru. |
Nga korero e pa ana
Tohu Atanga PHY
Ka whakarārangihia nga tohu atanga PHY o te 25G Ethernet Intel FPGA IP.
2.5. Hoahoa Example Mahere Rehita
Kei raro ko te rehitatanga mapi mo te hoahoa matua eCPRI IP example:
Ripanga 6. eCPRI Intel FPGA IP Design Exampte Mahere Rehita
Wāhitau | Rehita |
0x20100000 – 0x201FFFFF(2) | IOPLL Re-whirihora Rehita. |
0x20200000 – 0x203FFFFF | Itarangi MAC Avalon-MM Rēhita |
0x20400000 – 0x205FFFFF | Itarangi MAC Maori PHY Avalon-MM Rēhita |
0x20600000 – 0x207FFFFF(2) | Rehita Maori PHY RS-FEC Avalon-MM. |
0x40000000 – 0x5FFFFFFF | eCPRI IP Avalon-MM Rēhita |
0x80000000 – 0x9FFFFFFF | Itarangi Hoahoa Whakamātautau Generator / Verifier Avalon-MM Rēhita |
Ripanga 7. Nios II Rēhita Mahere
Ko nga rehita kei raro iho ka waatea noa i roto i te hoahoa tauiraampi hangaia mo Intel Stratix 10, Intel Agilex 7 ranei nga taputapu E-tile.
Wāhitau | Rehita |
0x00100000 – 0x001FFFFF | IOPLL Re-whirihora Rehita |
0x00200000 – 0x003FFFFF | Itarangi MAC Avalon-MM Rēhita |
0x00400000 – 0x005FFFFF | Itarangi MAC Maori PHY Avalon-MM Rēhita |
0x00600000 – 0x007FFFFF | Rehita Maori PHY RS-FEC Avalon-MM |
Tuhipoka: Ka taea e koe te uru atu ki nga rehita a Ethernet MAC me Ethernet MAC Maori PHY AVMM ma te whakamahi i te kupu offset hei utu mo te byte offset.
Mo nga korero taipitopito mo Ethernet MAC, Ethernet MAC Maori PHY, me nga mapi rehita matua eCPRI IP, tirohia nga kaiarahi kaiwhakamahi.
(2)Kei te waatea noa i te hoahoa exampi hangaia mo nga taputapu Intel Stratix 10 me Intel Agilex 7 E-tile.
Ripanga 8. eCPRI Intel FPGA IP Hardware Design Example Mahere Rehita
Kupu Whangai | Momo Rēhita | Uara Taunoa | Momo Uru |
0x0 | Tīmata Tuku Raraunga: • Moka 1: PTP, momo PTP kore • Moka 0: momo eCPRI |
0x0 | RW |
0x1 | Whakahohe Pakete Tonu | 0x0 | RW |
0x2 | Hapa Hapa | 0x0 | RW |
0x3 (3) | Whakawhiti Reiti: • Moka [7]- He tohu taera: — 1'b0: H-tile — 1'b1: E-tile • Moka [6:4]- E tohu ana i te whakawhiti reiti raraunga Ethernet: — 3'b000: 25G ki te 10G — 3'b001: 10G ki te 25G • Moka [0]- Whakahohe te reiti whakawhiti. Me whakarite tenei moka 0 me te pooti kia marama te moka 0 mo te huringa reiti. Tuhipoka: Kaore tenei rehita i te waatea mo nga hoahoa Intel Agilex 7 F-tile me Intel Arria 10. |
• Tipa-e: 0x80 • H-tile: 0x0 |
RW |
0x4 (3) | Reiti Whakawhiti Kua Oti: • Ko te moka [1] e tohu ana kua oti te whakawhiti reiti. |
0x0 | RO |
0x5 (4) | Tūnga Whirihoranga Pūnaha: • Moka [31]: Kua rite te punaha • Moka [30]: IWF_EN • Moka [29]: STARTUP_SEQ_EN • Moka [28:4]: Kua rahuitia • Moka [3]: EXT_PACKET_EN • Moka [2:0]: Kua rahuitia |
0x0 | RO |
0x6 (4) | Kua oti te whiriwhiringa CPRI: • Moka [3:0]: Kua oti te reiti moka • Moka [19:16]: Kua oti te kawa |
0x0 | RW |
0x7 (4) | Kua oti te whiriwhiringa CPRI: • Moka [3:0]: Ka oti te C&M tere • Moka [19:16]: Kua oti te VSS tere |
0x0 | RW |
0x8 – 0x1F | Kua rahuitia. | ||
0x20 | Hapa Hapa eCPRI: • Ko te moka [0] e tohu ana i te haukoti. |
0x0 | RO |
0x21 | Hapa Paeke Waho | 0x0 | RO |
0x22 | Paakete PTP waho TX Tatau Timata o te Packet (SOP). | 0x0 | RO |
0x23 | Tatauhia nga Pakete PTP o waho TX Whakamutunga o te Pakete (EOP). | 0x0 | RO |
0x24 | Ko nga Pakete Aneka o waho TX Tatau SOP | 0x0 | RO |
0x25 | Ko nga Paake Aneka o waho TX EOP Tatau | 0x0 | RO |
0x26 | Tatau SOP Pakete RX o waho | 0x0 | RO |
0x27 | Tatau EOP nga Pakete RX o waho | 0x0 | RO |
0x28 | Tatau Hapa o nga Pakete o waho | 0x0 | RO |
0x29 – 0x2C | Kua rahuitia. | ||
0x2D | Wā PTP wahoamp Tatau Hapa Matimati | 0x0 | RO |
0x2E | Wā PTP wahoamp Hapa Matimati | 0x0 | RO |
0x2F | Tūnga Hapa Rx waho | 0x0 | RO |
0x30 – 0x47 | Kua rahuitia. | ||
0x48 | Hapa Paati eCPRI | RO | |
0x49 | Tatau eCPRI TX SOP | RO | |
0x4A | eCPRI TX EOP Tatau | RO | |
0X4B | Tatau eCPRI RX SOP | RO | |
0x4C | Tatau eCPRI RX EOP | RO | |
0x4D | Tatau Hapa eCPRI | RO |
Nga korero e pa ana
- Mana, Mana, me nga Tatauranga Rehita Whakaahuatanga
Rēhitahia nga korero mo te 25G Ethernet Stratix 10 FPGA IP - Te whirihora me te Rēhita Tūnga
Whakaahuatanga Rehita korero mo te E-tile Hard IP mo Ethernet - Rehita
Rēhitahia nga korero mo te eCPRI Intel FPGA IP
eCPRI Intel FPGA IP Hoahoa Example Archives Aratohu Kaiwhakamahi
Mo nga putanga hou me o mua o tenei aratohu kaiwhakamahi, tirohia te eCPRI Intel FPGA IP Design Exampte putanga HTML Aratohu Kaiwhakamahi. Tīpakohia te putanga ka pāwhiri Tikiake. Mena karekau he IP, he putanga rorohiko ranei i te raarangi, ka pa te aratohu kaiwhakamahi mo te IP o mua, te putanga rorohiko ranei.
Tuhinga o mua mo te eCPRI Intel FPGA IP Design Exampte Aratohu Kaiwhakamahi
Putanga Tuhinga | Intel Quartus Putanga Pirimia |
Putanga IP | Huringa |
2023.05.19 | 23.1 | 2.0.3 | • Whakahoutia te Whakatauira i te Hoahoa Exampte waahanga Testbench i roto i te upoko Aratohu Timata Tere. • Whakahoutia te ingoa whanau hua ki "Intel Agilex 7". |
2022.11.15 | 22.3 | 2.0.1 | Nga tohutohu kua whakahoutia mo te VCS simulator i te waahanga: Whakataurite i te Hoahoa Exampte Testbench. |
2022.07.01 | 22.1 | 1.4.1 | • I taapirihia te hoahoa taputapu exampte tautoko mo nga rereketanga taputapu Intel Agilex 7 F-tile. • He tautoko taapiri mo nga kete whanaketanga e whai ake nei: — Intel Agilex 7 I-Series FPGA Development Kit — Intel Agilex 7 I-Series Transceiver-SoC Development Kit • He tautoko taapiri mo te simulator QuestaSim. • Kua tangohia te tautoko mo ModelSim* SE simulator. |
2021.10.01 | 21.2 | 1.3.1 | • He tautoko taapiri mo nga taputapu Intel Agilex 7 F-tile. • He tautoko taapiri mo nga hoahoa hongere-maha. • Ripanga Whakahoutia: eCPRI Intel FPGA IP Hardware Design Example Mahere Rehita. • Kua tangohia te tautoko mo te NCSim simulator. |
2021.02.26 | 20.4 | 1.3.0 | • He tautoko taapiri mo nga taputapu Intel Agilex 7 E-tile. |
2021.01.08 | 20.3 | 1.2.0 | • I hurihia te taitara tuhinga mai i eCPRI Intel Stratix 10 FPGA IP Design Exampte Aratohu Kaiwhakamahi ki eCPRI Intel FPGA IP Hoahoa Exampte Aratohu Kaiwhakamahi. • He tautoko taapiri mo nga hoahoa Intel Arria 10. • Ko te hoahoa IP eCPRI exampKei te waatea inaianei me te tautoko mahi mahi (IWF). • I tapirihia he tuhipoka hei whakamarama i te hoahoa eCPRI exampKei te waatea noa te waahanga me te IWF mo te 9.8 Gbps CPRI tere moka raina. • Kua taapirihia nga tikanga i roto i te waahanga Hangaia te Hoahoa i te wa e whakaputa ana i te hoahoa exampme Mahi Mahi Mahi (IWF) Tautoko kua whakahohea. • Kua tapirihia sampKo te putanga whakamatautau whaihanga me te ahuatanga IWF kua whakahohea i roto i te waahanga Whakatau i te Hoahoa Exampte Testbench. • Kua taapirihia te waahanga hou e Whakahohe ana i te whirihora Hihiko ki te IP Ethernet. • Whakahoutanga whakamatautau taputapu sampte putanga i te waahanga Te whakamatautau i te eCPRI Intel FPGA IP Design Example. |
2020.06.15 | 20.1 | 1.1.0 | • He tautoko taapiri mo te reiti raraunga 10G. • rere.c file Kei te waatea inaianei me te hoahoa exampte whakatipuranga ki te kowhiri i te aratau loopback. • I whakarereketia te sampKo te putanga mo te whakamatautau whaihanga ka oma i te waahanga Whakatau i te Hoahoa Exampte Testbench. • Kua taapirihia te uara auau mo te whakahaere i te hoahoa reiti raraunga 10G i te waahanga Whakahiato me te Whirihora i te Hoahoa Example i roto i nga taputapu. • I mahia e whai ake nei i nga huringa o te waahanga Te whakamatautau i te eCPRI Intel FPGA IP Design Example: — Nga whakahau taapiri hei huri i te reiti raraunga i waenga i te 10G me te 25G — Apitihia sampte putanga mo te whakawhiti reiti raraunga - Kua taapirihia nga korero rereke TEST_MODE ki te kowhiri i te takahuri i roto i nga rereketanga taputapu E-tile. • Whakakē eCPRI Intel FPGA IP Hardware Hoahoa Examples High Level Poraka Hoahoa ki te whakauru hou poraka. • Ripanga Whakahou: Hoahoa Example Tohu Atanga ki te whakauru tohu hou. • Hoahoa Whakahou Example Rēhita Mahere wāhanga. • Kua taapirihia te waahanga taapiri hou:Te Whakaputa me te Tikiake i te Whakahotaka Whakamahinga me te Whakahononga (.elf) File . |
2020.04.13 | 19.4 | 1.1.0 | Tukunga tuatahi. |
A. Te Hanga me te Tikiake i te Papatono Whakahaere me te Hononga (.elf). File
Ko tenei waahanga e whakaatu ana me pehea te whakaputa me te tango i te .elf file ki te papa:
- Hurihia te whaiaronga kiample_dir>/synthesis/quatus.
- I roto i te rorohiko Intel Quartus Prime Pro Edition, pawhiria te Open Project ka tuwheraample_dir>/synthesis/quartus/epri_ed.qpf. Na, tohua Utauta ➤ Nios II Software Hanga Utauta mo te Eclipse.
Whakaatu 10. Nios II Software Hanga Utauta mo Eclipse - Ka puta te tohutoro matapihi mokowāmahi. I roto i te Mokowāmahi whakapūtā te ara heiample_dir>/synthesis/quatus hei rokiroki i to kaupapa Eclipse. Ka puta te matapihi Nios II hou - Eclipse.
Whakaahua 11. Matapihi Whakarewa Mokowāmahi - I roto i te matapihi Nios II – Eclipse, pawhiri-matau i raro i te ripa Project Explorer, ka kowhiri i te New ➤ Nios II Board Support Package. Ka puta te matapihi hou.
Whakaatu 12. Ripa Kaitirotiro Kaupapa - I roto i te matapihi mokete Tautoko Poari Nios II:
• I roto i te tawhā ingoa kaupapa, whakapūtā te ingoa kaupapa e hiahiatia ana.
• Kei roto i nga korero SOPC File tawhā ingoa, tirotiro ki te waahi oample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. Pāwhiritia Mutu.
Whakaahua 13. Matapihi Tautoko Poari Nios II - Ka puta te kaupapa hou i raro i te ripa Project Explorer i te matapihi Nios II Eclipse. Pāwhiri-matau i raro i te ripa Project Explorer, ka kowhiria te Nios II ➤ Nios II Command Shell.
Whakaatu 14. Project Explorer- Nios II Command Shell - I roto i te Nios II Command Shell, patohia nga whakahau e toru e whai ake nei: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw hanga –directory=app
- Ko te .elf file ka hangaia ki te waahi e whai ake nei:ample_dir>/ synthesis/ip_components/software/ /taupānga.
- Patohia te whakahau e whai ake nei ki te Nios II Command Shell hei tango i te .elf ki te papa:
• Mo Intel Stratix 10: nios2-download -g -r -c 1 -d 2 –accept-bad-sysid app/nios_system.elf
• Mo Intel Agilex 7: nios2-download -g -r -c 1 -d 1 –accept-bad-sysid app/nios_system.elf
Putanga Ingarihi
Tuku Urupare
UG-20278
683837
2023.05.19
eCPRI Intel® FPGA IP Hoahoa Exampte Aratohu Kaiwhakamahi
Tuhinga / Rauemi
![]() |
Intel eCPRI Intel FPGA IP Hoahoa [pdf] Aratohu Kaiwhakamahi eCPRI Intel FPGA IP Hoahoa, eCPRI, Intel FPGA IP Hoahoa, FPGA IP Hoahoa, IP Hoahoa, Hoahoa |