intel-logo

intel RN-01080-22.1 Quartus Prime Standard Edition Pūmanawa

intel-RN-01080-22-1-Quartus-Prime-Paerewa-Putanga-Pūmanawa-hua

Nga korero hua

Ko te hua ko te Intel Quartus Prime Standard Edition Software, otira ko te Putanga 22.1. Kei roto ko nga whakahoutanga mahi me te haumarutanga, nga whakatika iro, me nga huringa ki te whanonga rorohiko. I hangaia te rorohiko hei whakapai ake i te haumarutanga o to whakaurunga Intel Quartus Prime me te whakatau i nga tono ratonga kiritaki.

Mo etahi atu korero mo tenei tukunga rorohiko, tirohia koa te Intel Quartus Prime Standard Edition README file kei /quartus/readme.txt. Mo nga korero mo te tautoko punaha whakahaere, tirohia te Intel FPGA Operating System Support webwharangi.

He ISO 9001:2015 Kua Rēhitatia te pūmanawa.

Nga Tohutohu Whakamahi Hua

  1. Kia mau tonu to rorohiko Intel Quartus Prime kia whai hua mai i nga mahi, nga whakahoutanga haumarutanga, nga whakatika iro, me te pai ake o te haumarutanga.
  2. Mena kei a koe etahi tono ratonga kiritaki, review ko nga Take Pūmanawa kua Whakatauhia i te wharangi 13 me nga Painga Pūmanawa kei roto i tenei Whakaputa i te wharangi 13 ki te tirotiro mena kei tenei putanga nga whakatika mo o take.
  3. Ki view nga tautuhinga taumahi taunoa mo te putanga hou o te rorohiko, tirohia nga Tautuhinga Taunoa Intel Quartus Prime File (.qdf) kei /quartus/bin/assignment_defaults.qdf.
  4. Mena kua whakakorehia etahi ahuatanga, mahi ranei i nga putanga o mua, nekehia o taputapu me o tukanga ki te whakamahi i te whakakapi, etahi atu waahanga me nga mahi i mua i te tangohanga.
  5. Kaore he ahuatanga, he mahi ranei i whakakorehia, i tangohia ranei i roto i te Putanga 22.1 o Intel Quartus Prime Standard Edition.

Intel® Quartus® Prime Standard Edition Putanga 22.1 Pūmanawa me te Pūrere Tautoko Panui Tuku

Ko tenei tuhinga e whakarato ana i nga korero hou mo te Intel® Quartus® Prime Standard Edition Putanga 22.1.
Mo etahi atu korero mo tenei tukunga rorohiko, tirohia te Intel Quartus Prime
Putanga Paerewa README file i te waahi e whai ake nei: /quartus/readme.txt
Mo nga korero mo te tautoko punaha whakahaere, tirohia nga mea e whai ake nei web whārangi: Tautoko Pūnaha Whakahaere Intel FPGA.

Nga korero e pa ana

  • Intel Quartus Prime Pro Putanga Pūmanawa me te Tautoko Pūrere Tuku Tuhipoka
  • Pūmanawa Hoahoa Putanga Paerewa Intel Quartus Prime mo Linux
  • Pūmanawa Hoahoa Putanga Paerewa Intel Quartus Prime mo Windows
  • Pūmanawa Hoahoa Intel Quartus Prime Lite Edition mo Linux
  • Pūmanawa Hoahoa Intel Quartus Prime Lite Edition mo Windows
  • Intel FPGA Pūmanawa Tāuta me te Raihana

Nga waahanga hou me nga Whakanui

Intel Quartus Prime Standard Edition Pūmanawa Putanga 22.1 kei roto i nga whakahoutanga mahi me te haumarutanga. Kia mau tonu to rorohiko me te whai i nga tohutohu hangarau hei whakapai ake i te haumarutanga o to whakaurunga Intel Quartus Prime.
Intel Quartus Prime Standard Edition Pūmanawa Putanga 22.1 kei roto nga ahuatanga hou e whai ake nei me nga whakarei ake:

  • He tautoko taapiri mo te tukatuka Nios® V/m.
  • Mo nga taputapu Intel MAX® 10, kua taapirihia te tautoko 1.8V LVDS.

Whakatikanga Bug
Intel Quartus Prime Standard Edition Pūmanawa Putanga 22.1 kei roto hoki nga whakatikanga iro. Review Nga Take Pūmanawa Kua Whakatauhia i te wharangi 13 me nga Painga Pūmanawa kei roto i tenei Whakaputa i te wharangi 13 kia kite mena kei roto i tenei putanga nga whakatikatika mo te whakatau ranei i tetahi o o tono ratonga kiritaki.

Nga Huringa ki te Whanonga Pūmanawa

Ka tuhia e tenei waahanga nga ahuatanga i whakarerekehia te whanonga me nga tautuhinga taunoa o te rorohiko Intel Quartus Prime Standard Edition mai i nga putanga o mua o te rorohiko Intel Quartus Prime Standard Edition.
Tirohia te Intel Quartus Prime Tautuhinga Taunoa File (.qdf), /quartus/bin/assignment_defaults.qdf, mo te rarangi o nga tautuhinga taumahi taunoa katoa mo te putanga hou o te rorohiko Intel Quartus Prime.

Nga waahanga me nga mahi kua whakakorehia

  • Ko nga mahi me nga ahuatanga kei roto i tenei waahanga kua whakakorehia engari kaore i tangohia mai i te Intel Quartus Prime Standard Edition Putanga 22.1 o mua atu ranei. Hekehia o taputapu me o tukanga ki te whakamahi i nga waahanga whakakapinga me etahi atu waahanga me nga mahi i mua i te tangohanga o nga ahuatanga me nga mahi kua ngaro.
  • Ko nga ahuatanga me nga mahi kua whakakorehia mai i te Intel Quartus Prime Standard Edition Putanga 22.1
  • Karekau he ahuatanga me nga mahi a Intel Quartus Prime i whakakorehia i roto i te Putanga Paerewa Paerewa 22.1 Intel Quartus Prime.
  • Ko nga ahuatanga me nga mahi kua whakakorehia mai i te Intel Quartus Prime Standard Edition Putanga 21.1.1
  • Karekau he ahuatanga me nga mahi a Intel Quartus Prime i whakakorehia i roto i te Putanga Paerewa Paerewa 21.1.1 Intel Quartus Prime.
  • Ko nga ahuatanga me nga mahi kua whakakorehia mai i te Intel Quartus Prime Standard Edition Putanga 21.1
  • Karekau he ahuatanga me nga mahi a Intel Quartus Prime i whakakorehia i roto i te Putanga Paerewa Paerewa 21.1 Intel Quartus Prime.
  • Ko nga ahuatanga me nga mahi kua whakakorehia mai i te Intel Quartus Prime Standard Edition Putanga 20.1
  • Karekau he ahuatanga me nga mahi a Intel Quartus Prime i whakakorehia i roto i te Putanga Paerewa Paerewa 20.1 Intel Quartus Prime.

Kua tangohia nga waahanga me nga mahi

  • Ko nga mahi me nga ahuatanga kua whakarārangihia i tenei waahanga kua tangohia mai i te Intel Quartus Prime Standard Edition Putanga 22.1, i mua atu ranei.
  • Ko nga ahuatanga me nga mahi kua tangohia mai i te Intel Quartus Prime Standard Edition Putanga 22.1
  • Karekau he ahuatanga me nga mahi a Intel Quartus Prime i tangohia mai i te Putanga 22.1 o te Putanga Paerewa Paerewa Intel Quartus Prime.

Ko nga ahuatanga me nga mahi kua tangohia mai i te Intel Quartus Prime Standard Edition Putanga 21.1.1
Karekau he ahuatanga me nga mahi a Intel Quartus Prime i tangohia mai i te Putanga 21.1.1 o te Putanga Paerewa Paerewa Intel Quartus Prime.
Ko nga ahuatanga me nga mahi kua tangohia mai i te Intel Quartus Prime Standard Edition Putanga 21.1

  • Kua tangohia a ModelSim*-Intel FPGA Edition me ModelSim-Intel FPGA Starter Edition
    Kua whakakapihia tenei rorohiko whaihanga e Questa*-Intel FPGA Edition me Questa-Intel FPGA Starter Edition.
  • Kua tangohia te tautoko mo te rorohiko whaihanga 32-bit.
    Ka tangohia e tenei huringa te tautoko mo nga taputapu whaihanga e whai ake nei:
    • Aldec* Active-HDL* (32-bit)
      Whakamahia he putanga 64-bit o Aldec Active-HDL, whakamahia ranei a Aldec Riviera-PRO* hei utu.
    • Kaitohutohu Whakairoiro* ModelSim PE
      Whakamahia a Siemens* EDA ModelSim SE, Siemens EDA Questa Advanced Simulator ranei.
  • Kua tangohia te tautoko a NicheStack TCP/IP Stack.
  • Kua tangohia te tautoko mo te Cadence* Incisive* Enterprise Simulator (IES).

Ko nga ahuatanga me nga mahi kua tangohia mai i te Intel Quartus Prime Standard Edition Putanga 20.1
Kua tangohia te tautoko mo te raupaparorohiko e whai ake nei mai i te Putanga 20.1 o muri mai o Intel Quartus Prime Standard Edition:

  • Kaihanga DSP mo nga Intel FPGA
  • Intel FPGA SDK mo OpenCL™ (*)
  • Intel FPGA RTE mo OpenCL
  • Intel High-Level Synthesis (HLS) Compiler

Tautoko Pūnaha Whakahaere

Ko nga korero mo te tautoko i te punaha whakahaere mo te Intel Quartus Prime Design Suite kei te wharangi Tautoko Pūnaha Whakahaere o te Intel FPGA. webpae.
Nga Huringa Tautoko Pūnaha Whakahaere i roto i te Putanga Paerewa Paerewa Intel Quartus Prime 22.1
Ko te tautoko mo nga punaha whakahaere e whai ake nei ka whakakorehia i roto i te Intel Quartus Prime Standard Edition Putanga 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 Putanga 1607
    Nekehia to whakaurunga Windows 10 ki Windows 10 Putanga 1809, i muri mai ranei.
    Ka tangohia pea te tautoko mo enei punaha whakahaere hei te tukunga ake.
    I tangohia e Intel Quartus Prime Standard Edition Putanga 22.1 te tautoko mo nga punaha whakahaere e whai ake nei:
  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1
  • Potae Whero* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1

Nga Huringa Tautoko Pūnaha Whakahaere i roto i te Putanga Paerewa Paerewa Intel Quartus Prime 21.1.1

Karekau he huringa tautoko a te punaha whakahaere i roto i te Putanga 21.1.1 Intel Quartus Prime Standard Edition.

Nga Huringa Tautoko Pūnaha Whakahaere i roto i te Putanga Paerewa Paerewa Intel Quartus Prime 21.1
Intel Quartus Prime Standard Edition Putanga 21.1 i taapiri tautoko mo nga punaha whakahaere e whai ake nei:

  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Ko te tautoko mo nga punaha whakahaere e whai ake nei kua whakakorehia mai i te Intel Quartus Prime Standard Edition Putanga 21.1. Ka tangohia pea te tautoko mo enei punaha whakahaere a muri ake nei:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7
    I tangohia e Intel Quartus Prime Standard Edition Putanga 21.1 te tautoko mo nga punaha whakahaere e whai ake nei:
  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Nga korero e pa ana
Tautoko Pūnaha Whakahaere

Mokowā Kōpae me ngā Tohutohu Pūmahara

Ko te whakaurunga katoa o te rorohiko Intel Quartus Prime Standard Edition me eke ki te 40 GB mokowā kōpae e waatea ana.
Whirihorahia to punaha ki te whakarato i etahi atu mahara mariko e rite ana ki te RAM tinana e hiahiatia ana hei tukatuka i to hoahoa. Ko tenei mahara mariko taapiri ka tino whakaruarua i te katoa o nga mahara whai hua e waatea ana ki te tukatuka i to hoahoa.

Tuhipoka: Ka nui ake pea te mahara mariko teitei i enei taunakitanga. Ko enei taunakitanga e ahu mai ana i te nui o te mahara tinana e hiahiatia ana hei whakatutuki i te wa mahi i roto i te 10% o tera i tutuki i runga i nga taputapu me te rahinga mutunga kore o te RAM.

Ripanga 1. Nga Whakaritenga Mahara mo te Tukatuka Arria® Hoahoa
He rite enei whakaritenga mo nga whakaurunga Windows me Linux.

Whanau Pūrere RAM tinana e taunaki ana
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10AS048, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Aria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Ripanga 2. Nga Whakaritenga Mahara mo te Tukatuka i nga Hoahoa Cyclone®
He rite enei whakaritenga mo nga whakaurunga Windows me Linux.

Whanau Pūrere RAM tinana e taunaki ana
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Huripari V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5,

5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6,

5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, 5CSEA4, 5CSXC2,

5CSXC4, 5CSTD6

6 GB
Huripari IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Huripari IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Ripanga 3. Nga Whakaritenga Mahara mo te Tukatuka MAX Hoahoa
He rite enei whakaritenga mo nga whakaurunga Windows me Linux.

Whanau Pūrere RAM tinana e taunaki ana
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V Katoa 512 MB
MAX II Katoa 512 MB

Ripanga 4. Nga Whakaritenga Mahara mo te Tukatuka Stratix®Designs
He rite enei whakaritenga mo nga whakaurunga Windows me Linux.

Whanau Pūrere RAM tinana e taunaki ana
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

Tautoko Pūrere me te Tūnga Pin-Oto

Ko nga taputapu whakangao katoa i tenei wa kei te whakahiato katoa, te whaihanga, te tātari wa, me te tautoko hotaka.

Huringa i te Tautoko Pūrere

Tauira Wā, Tauira Hiko, me te Tūnga Pūrere

Ripanga 5. Tauira Wā, Tauira Hiko, me te Tūnga Pūrere mō ngā Pūrere Intel Arria 10

Whānau Pūrere Pūrere Tūnga Tauira Wā Tūnga Tauira Mana Tūnga Pūrere
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022,

10AX027, 10AS027, 10AX032, 10AS032

Whakamutunga – 16.1 (3)

(4)

Whakamutunga – 17.0 Whakamutunga – 17.0
10AX048, 10AS048 Whakamutunga – 16.0.2 (4) Whakamutunga – 17.0 Whakamutunga – 17.0
10AX057, 10AS057, 10AX066, 10AS066,

10AT090, 10AX090

Whakamutunga – 16.0.1 (4) Whakamutunga – 16.0.1 Whakamutunga – 16.0.1
10AX115, 10AT115 Whakamutunga – 16.0 (4) Whakamutunga – 16.0 Whakamutunga – 16.0

Ripanga 6. Tauira Wā, Tauira Hiko, me te Tūnga Pūrere mō ngā Pūrere Intel Cyclone 10

Whānau Pūrere Pūrere Tūnga Tauira Wā Tūnga Tauira Mana Tūnga Pūrere
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025,

10CL040, 10CL055, 10CL080, 10CL120

Whakamutunga – 17.0 Whakamutunga – 17.1 Whakamutunga – 17.1

Ripanga 7. Tauira Wā, Tauira Hiko, me te Tūnga Pūrere mō ngā Pūrere Intel MAX 10

Whānau Pūrere Pūrere Tūnga Tauira Wā Tūnga Tauira Mana Tūnga Pūrere
Intel MAX 10 10M02, 10M04, 10M08 Whakamutunga – 15.1(5) Whakamutunga – 15.1 Whakamutunga – 15.1
10M16, 10M25, 10M40, 10M50 Whakamutunga – 15.1.2 Whakamutunga – 15.1 Whakamutunga – 15.1

Kei roto hoki i te putanga o naianei o te rorohiko Intel Quartus Prime te wa whakamutunga me nga tauira hiko mo te Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix IV, me nga whanau taputapu Stratix V. Ko nga tauira wa mo enei whanau taputapu ka mutu i roto i nga putanga rorohiko Intel Quartus Prime 11.1 o mua atu ranei.

IBIS Tauira

Ripanga 8. Te Mana Tauira IBIS mo te Intel Quartus Prime Standard Edition Putanga Putanga Putanga Putanga 22.1
Ka timata mai i te putanga rorohiko Intel Quartus Prime Standard Edition 16.0, kei nga whanau taputapu nga ahuatanga tauira IBIS kei mua, Tuhinga o mua, Whakamutunga ranei.

Whānau Pūrere Tūnga Tauira IBIS
Intel Arria 10 Whakamutunga – 16.1.2
Aria V He mea hono ki te mahi taputapu PHY - 14.0
Arria II GX He mea hono ki te mahi taputapu PHY - 11.1
Arria II GZ He mea hono ki te mahi taputapu PHY - 11.1
Intel Cyclone 10 LP Whakamutunga – 17.0
Huripari V He mea hono ki te mahi taputapu PHY - 14.0
Huripari IV E He mea hono ki te mahi taputapu PHY - 11.1
Huripari IV GX He mea hono ki te mahi taputapu PHY - 11.1
Intel MAX 10 Whakamutunga – 16.0
MAX V He mea hono ki te mahi taputapu PHY - 11.1
Stratix V He mea hono ki te mahi taputapu PHY - 13.0 SP1
Stratix IV He mea hono ki te mahi taputapu PHY - 11.1

E waatea ana nga tauira IBIS kua whakahoutia ki runga ipurangi i runga i nga Tauira IBIS mo nga taputapu Intel FPGA web wharangi. Ka whakahoutia tenei wharangi i te wa e waatea ana nga tauira IBIS mo nga taputapu, kei te whakahouhia ranei.

Nga korero atanga EDA

Ripanga 9. Utauta Synthesis e tautoko ana i te Putanga Putanga Putanga Putanga Paerewa Intel Quartus Prime 22.1

Utauta Synthesis Putanga
Siemens EDA Precision* Ko nga putanga Siemens EDA Precision e tautoko ana i te rorohiko Intel Quartus Prime ka tukuna i muri i te tukunga o te rorohiko Intel Quartus Prime. Whakapa atu ki a Siemens EDA mo nga putanga o Siemens EDA Precision e tautoko ana i te Putanga Putanga Putanga Putanga Putanga 22.1 Intel Quartus Prime Standard Edition.
Synopsys* Synplify*, Synplify Pro*, me Synplify Pirimia Ko nga putanga Synopsys Synplify, Synplify Pro, me Synplify Premier e tautoko ana i te rorohiko Intel Quartus Prime ka tukuna i muri i te tukunga o te rorohiko Intel Quartus Prime. Whakapa atu ki a Synopsys mo nga putanga o Synopsys Synplify, Synplify Pro, me Synplify Pirimia e tautoko ana i te Putanga Putanga Putanga Putanga Putanga Paerewa Intel Quartus Prime 22.1.

Ripanga 10. Utauta Whakatauira e tautoko ana i te Putanga Putanga Putanga Putanga Paerewa Intel Quartus Prime 22.1
Ko nga taputapu whaihanga e whai ake nei e whakarato ana i te RTL me te whaihanga taumata-kuti. Ko nga taputapu whaihanga 64-bit anake e tautokohia ana.

Utauta whaihanga Putanga
Aldec Active-HDL 13.0 (Windows anake)
Aldec Riviera-PRO 2019.10
Cadence Xcelium* Whakarara Aratau Whakarara 21.09.003 (Linux* anake)
Putanga Questa-Intel FPGA 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS* me VCS MX P-2019.06-SP2-5 (Linux anake)

Ko te Questa-Intel FPGA Edition e hiahia ana ki te FlexLM raihana daemon putanga 11.16.4.0 (i muri mai ranei). Ka taea e koe te tiki i te daemon raihana mai i te FlexLM License Daemons mo Intel FPGA Software web wharangi.
Ka taea e koe te tiki Intel FPGA Edition o nga taputapu whaihanga mai i te Pokapū Tikiake mo nga FPGA.

Tautoko Pūnaha Whakahaere mo Questa-Intel FPGA Putanga Putanga 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Nga korero e pa ana

  • Pūmanawa Hoahoa Putanga Paerewa Intel Quartus Prime mo Linux
  • Pūmanawa Hoahoa Putanga Paerewa Intel Quartus Prime mo Windows
  • Pūmanawa Hoahoa Intel Quartus Prime Lite Edition mo Linux
  • Pūmanawa Hoahoa Intel Quartus Prime Lite Edition mo Windows

Manatoko pareketo

Ko te putanga rorohiko Intel Quartus Prime putanga 22.1 kua manatokohia te kore huaketo me te rorohiko e whai ake nei:

Pūmanawa Manatoko pareketo
McAfee VirusScan Command Line mo te Putanga Linux64: 7.0.0.477
Putanga AV Engine: 6300.9389 mo Linux64.
Putanga huinga Dat: 10505 i hanga Oketopa 19 2022

Kua Whakatauhia nga Take Pūmanawa

Ko nga tono ratonga kiritaki e whai ake nei i whakatikahia, i whakatauhia ranei i roto i te Intel Quartus Prime Standard Edition Putanga 22.1:

Ripanga 11. Nga Take Kua Whakatauhia i roto i te Putanga Paerewa Paerewa Intel Quartus Prime 22.1

Nga Tau Tono Ratonga Kaihoko
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

Ko nga Painga Pūmanawa kei roto i tenei Tukunga

Kei roto i te Intel Quartus Prime Standard Edition Putanga 22.1 nga papanga e whai ake nei mo nga putanga o mua o te rorohiko Intel Quartus Prime Standard Edition:
Ripanga 12. Ko nga Painga Pūmanawa kei roto i te Putanga 22.1 o te Intel Quartus Prime Standard Edition

Putanga Pūmanawa Papaki Tau Tono Ratonga Kaihoko
Intel Quartus Prime Putanga 21.1 0.10std
Intel Quartus Prime Putanga 21.1 0.08std 00693884
Intel Quartus Prime Putanga 21.1 0.07std 00501636
Intel Quartus Prime Putanga 21.1 0.06std 00689611
Intel Quartus Prime Putanga 21.1 0.04stdp
Intel Quartus Prime Putanga 21.1 0.03std
Intel Quartus Prime Putanga 21.1 0.02std
Intel Quartus Prime Putanga 20.1.1 1.09std 00702107
Intel Quartus Prime Putanga 20.1 0.14std 00702107
Intel Quartus Prime Putanga 18.1.1 1.13std
Intel Quartus Prime Putanga 18.1.1 1.12std
Intel Quartus Prime Putanga 18.1.1 1.09std
Putanga Pūmanawa Papaki Tau Tono Ratonga Kaihoko
Intel Quartus Prime Putanga 18.1 0.23std 00698210
Intel Quartus Prime Putanga 18.1 0.21std 00669646
Intel Quartus Prime Putanga 18.1 0.20std 00689611

Nga Take Pūmanawa Tuarua Intel Quartus Prime e mohiotia ana
Ko nga korero mo nga take mohio e pa ana ki a Intel Quartus Prime Standard Edition Putanga 22.1 kei roto i te Intel FPGA Knowledge Base.
Mo nga korero hou mo nga take e pa ana ki a Intel Quartus Prime Standard Edition Putanga 22.1, review nga tuhinga Intel FPGA Knowledge Base e pa ana ki a Intel Quartus Prime Standard Edition Putanga 22.1.

Ripanga 13. Nga take nui e mohiotia ana e pa ana ki te Intel Quartus Prime Standard Edition Putanga 22.1

Whakaahuatanga Taumahinga
I runga i nga punaha Microsoft* Windows, SDI II Intel FPGA IP hoahoa exampKa rahua te reanga me te karere hapa e whai ake nei: Mo nga taipitopito me te waatea o te whakatika, tirohia He aha te SDI II Intel FPGA IP hoahoa examprahua te whakatupuranga ina whakamahi i te Intel Quartus Prime Software mo Windows? i roto i te Intel FPGA Knowledge Base.
Hapa: I rahua te whakaputa exampte hoahoa example_design ki:: \sdi_ii_0_exampte_hoahoa
I runga i nga punaha Microsoft Windows, ka puta te hapa e whai ake nei i te wa e whakaputa ana i te Intel Arria 10 EMIF Example Hoahoa mo te whaihanga: Ka taea e koe te wareware i enei karere whakatupato. whaihanga file huinga mo Siemens EDA Questa me Aldec

Riviera-PRO pūmanawa whaihanga kua hangaia me te whai i te hoahoa e tika ana files kia pai te whakahaere i te whaihanga.

Mo etahi atu taipitopito me te waatea o te whakatika, tirohia He aha e te Intel Arria 10 EMIF Exampi Rahua te Whakatupuranga Hoahoa i te wa e whakamahi ana i te Intel Quartus Prime Standard Edition Putanga Pūmanawa 22.1 mo Windows? i roto i te Intel FPGA Knowledge Base.

Hapa: emif_0: Kua puta he hapa i te wa e whakaputa ana i te whaihanga exampte hoahoa. Tirohia te make_sim_design_errors.log mo nga taipitopito.
Hapa: I rahua te whakaputa exampte hoahoa

ki: <exampte whaiaronga hoahoa>

Hanga Example Hoahoa: kua oti me nga hapa
Ka whakamahi koe i te aratau Intel Arria 10 EMIF IP Skip Calibration, ka taea e te whaihanga o Intel Arria 10 EMIF IP me te Siemens EDA Questa pūmanawa whaihanga (Siemens EDA Questa Advanced Simulator or Questa-Intel FPGA Edition) ka whakairihia. Whakamahia te PHY Abstract mo te whaihanga tere

kōwhiringa whaihanga hei aukati i te iri.

Mo etahi atu taipitopito me te waatea o te whakatika, tirohia He aha e whaihanga o Intel Arria 10 EMIF IP i roto i Mentor ka whakairihia nga simulators i te wa e whakamahi ana i te Intel Quartus Prime Standard Putanga Pūmanawa Putanga 22.1 i roto i te Intel FPGA Knowledge Base.

Ka kitea e koe nga korero take e mohiotia ana mo nga putanga o mua o te rorohiko Quartus Prime i runga i te Intel FPGA Knowledge Base web wharangi.
Ko nga korero mo nga take rorohiko mohio e pa ana ki nga putanga o mua o te rorohiko Quartus II e waatea ana i runga i te Tautoko Pūmanawa Intel Quartus Prime me Quartus II. web wharangi.
Kei te waatea nga korero mo nga take e pa ana ki te Intel FPGA IP Library i roto i nga korero tuku mo ia IP. Ka kitea e koe nga korero tuku IP i runga i te Intel FPGA Documentation Index web wharangi.

Nga korero e pa ana

  • Papanga Matauranga Intel FPGA
  • Tautoko Pūmanawa Intel Quartus Prime me Quartus II
  • Ko nga FPGA Intel me nga Pūrere Ka taea te Whakatuwherahia nga Tuhipoka

Intel Quartus Prime Standard Edition Software and Device Support Release Notes Archives
Mo nga putanga hou me o mua o enei tuhipoka tuku, tirohia te Intel Quartus Prime Standard Edition Software and Device Support Release Notes. Ki te kore e whakarārangitia he putanga pūmanawa, ka pā ngā tuhipoka tuku mō te putanga pūmanawa o mua.

Intel Quartus Prime Standard Edition Pūmanawa Tuku Putanga 22.1 Tuhinga Tuhinga History

Putanga Tuhinga Intel Quartus Prime Putanga Huringa
2022.11.07 22.1 • Nga Take Rorohiko E mohiotia ana hou.
2022.10.31 22.1 • Tukunga tuatahi.

Intel Quartus Prime Standard Edition: Putanga 22.1 Pūmanawa me te Pūrere Tautoko Panui Tuku

Tuhinga / Rauemi

intel RN-01080-22.1 Quartus Prime Standard Edition Pūmanawa [pdf] Aratohu Kaiwhakamahi
Putanga 22.1, RN-01080-22.1, RN-01080-22.1 Quartus Prime Standard Edition Software, Quartus Prime Standard Edition Software, Prime Standard Edition Software, Standard Edition Software, Edition Software, Software

Tohutoro

Waiho he korero

Ka kore e whakaputaina to wahitau imeera. Kua tohua nga mara e hiahiatia ana *